DATASHEET SEARCH SITE ==

Size: px
Start display at page:

Download "DATASHEET SEARCH SITE =="

Transcription

1 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电 是全差分模拟输入, 带有一个基准输入 是一款用于高精度电子秤系统的理想产品, 由于采用特殊的结构确保器件具有极低功耗, 并且内建有掉电模式以减少待机功耗 该芯片还具有集成度高 响应速度快 抗干扰强等优点, 可以大大降低电子秤系统的整机成本, 提高整机系统的性能和可靠性 功能特点 1 对全差分输入通道的 ADC 片内直接温度测量和数字输出 24 位无丢失代码 片内低噪声放大器, 增益为 128 ±0.001% 非线性 可选 10Hz 和 40Hz 的输出数据速率 同步抑制 50Hz 和 60Hz 的电源干扰 内置时钟振荡器无需任何外接器件 简单的二线串行通信口 工作电压范围 :2.6 ~ 5.5V 工作温度范围 :-40 ~ +85 封装形式 :SOP8 /DIP8 典型应用 AVDD +5V 磁珠 传感器 1K 104 1K VREF AVDD AGND DVDD AIN- DOUT AIN 至 MCU I/O 端口 104 图 1 典型应用 1

2 管脚信息 DIP-8/SOP-8 (TOP VIEW) VREF AGND AIN- AIN AVDD DVDD DOUT 图 2 管脚信息 管脚功能 表 1 管脚描述 管脚 名 称 功 能 1 VREF 基准输入电压 (1.8V~AVDD) 2 AGND 地输入 3 AIN- 差分模拟输入通道负输入端 4 AIN+ 差分模拟输入通道正输入端 5 掉电模式和串口时钟输入 6 DOUT 串口数据输出 7 DVDD 数字电源输入 (2.6~5.5V) 8 AVDD 模拟电源输入 (2.6~5.5V), AVDD 电压不应高于 DVDD 电压 绝对最大额定值范围 表 2 绝对最大额定值 参数范围单位 VCC 电源电压 AVDD,DVDD -0.4~6.0 V VIN 输入端电压范围 VREF,AIN+,AIN-, -0.4~VCC+0.4V V VOUT 输出端电压范围 DOUT -0.4~+6.0 V Topr 工作温度范围 -40~+85 Tstg 储存温度范围 -55~+150 ESD 人体模式 (HBM) 4000 V 机器模式 (MM) 300 V 注 : 如果强制将器件在超出本表中所列的条件之下工作可能造成器件永久损坏, 此表列出的仅是工 作应力的极限, 并不表示器件可以工作于表中所列条件之下, 或是那些超越工作范围明确规定的其他条 件之下 长时间工作于绝对极限值可能会影响器件寿命 推荐工作条件范围 ( 在 -40 ~+85 下 ) 除非另有说明 表 3 推荐工作条件 参数 测试条件 最小值典型值最大值 单位 直流参数规格表 : AVDD 模拟部分电源电压 V DVDD 数字部分电源电压 V VIH 高电平输入电压 0.7 DVDD DVDD V VIL 低电平输入电压 GND 0.3 DVDD V TA 工作温度范围 TJ 工作结温范围

3 串口通信串口通讯线由管脚 和 DOUT 组成, 用来输出数据, 选择输出数据速率和输入信号 当数据输出管脚 DOUT 为高电平时, 表明 A/D 转换器还未准备好输出数据, 此时串口时钟输入信号 应为低电平 当 DOUT 从高电平变低电平后, 应输入 25 至 27 个不等的时钟脉冲 ( 图 3) 其中第一个时钟脉冲的上升沿将读出输出 24 位数据的最高位 (MSB), 直至第 24 个时钟脉冲完成,24 位输出数据从最高位至最低位逐位输出完成 第 25 至 27 个时钟脉冲用来选择下一次 A/D 转换的输出数据速率和输入信号, 参见表 4 的输入时钟脉冲数不应少于 25 或多于 27, 否则会造成串口通讯错误 当 A/D 转换器的输入信号或输出数据速率改变时,A/D 转换器需要 4 个数据输出周期才能稳定 DOUT 在 4 个数据输出周期后才会从高电平变低电平, 输出有效数据 表 4 输入选择和输出数据速率选择 脉冲数输入选择速率 25 差分信号 10Hz 26 温度测量 40Hz 27 差分信号 40Hz 当前转换周期 一个数据输出周期时间 下一个转换周期 DOUT MSB LSB T1 T2 T 下一次转换 : 差分输入, 增益 128,10Hz T 下一次转换 : 温度测量,40Hz 下一次转换 : 差分输入, 增益 128,40Hz 图 3 数据输出, 输入通道和增益选择时序图 表 5 时序通信参数描述 参数 描述 最小值 典型值 最大值 单位 T1 DOUT 下降沿到 脉冲上升沿 0.1 μs T2 脉冲上升沿到 DOUT 数据有效 0.1 μs T3 * 正脉冲电平时间 μs T4 负脉冲电平时间 0.2 μs * 注 : 正脉冲电平时间不能超过规定的最大值 50μs, 否则会导致读出的 AD 结果数据不正确 输出噪声表 6 表示 输出的无噪声位数 给出的数据适用于 AVDD 和 VREF 都为 5V 双极性输入范围 这些数据是典型值并在模拟差分输入电压为 0V 的情况下产生 表 6 输出噪声 (5V 电压 ) 数据更新率无噪声位 10Hz 17bits 40 Hz 16bits 3

4 模拟输入 (1) 通道模拟输入范围 : 包括 1 个模拟输入对, 即 AIN+ AIN- 输入对提供可处理单 双极性输入信号的差分输入通道 应注意, 双极性输入信号以 AIN- 端为参考 模拟差分输入电压范围为 ±0.5 (VREF/128)V, 模拟输入电压的绝对值处在 AGND 和 AVDD-1.3V 之间 (2) 基准输入 : VREF 为 提供基准输入 基准电压输入范围为 1.8V 到 AVDD 系统时钟和 AD 数据更新率 (1) 系统时钟 : 的系统时钟为内部振荡器提供, 它是一个对 VDD 和温度超低依赖性的高精度振荡器 (2)AD 数据更新率 : 提供可选 10Hz 和 40Hz 的输出数据速率, 可通过通信口简单选择 输出数据 的输出数据编码是二进制补码, 范围为 H( 最小值 ) 到 7FFFFFH( 最大值 ) 温度测量 芯片内部的数字温度传感器可以直接用于读出芯片内, 即系统内的温度 其有效 ( 稳定 ) 位数为 15 位 典型温度测量精度为每度 ( )20.4 个读数 (15 位 ) 温度测量范围为 -40 ~85 使用数字温度传感器时, 应注意芯片内的温度传感器在芯片与芯片之间有较大的零点和增益差异 如果用来测量绝对温度, 零点和增益都需要校正 如测量温度用来做系统温度相关的性能补偿, 零点和增益则不需要校正, 只要温度测量的线性度满足要求即可 复位和掉电模式当芯片上电时, 芯片内的上电自动复位电路会使芯片自动复位 引脚 输入用来控制 的断电 当 为低电平时, 芯片处于正常工作状态 如果 从低电平变高电平并保持在高电平超过 60μs, 即进入掉电模式 ( 见图 4) 当 重新回到低电平时, 芯片会重新进入正常工作状态 芯片从断电状态回到正常工作状态后, 如果要保持断电前的转换速率和输入信号选择, 则断电不能在时钟脉冲数发生变化的当前数据转换周期进行, 而应在时钟脉冲数发生变化后的下一个数据转换周期之后进行 芯片从复位或断电状态进入正常工作状态后,A/D 转换器需要 4 个数据输出周期才能稳定 DOUT 在 4 个数据输出周期后才会从高电平变低电平, 输出有效数据 掉电模式 正常工作 60μs 功耗 图 4 掉电控制 在各种状态下的功耗如下表 7 表 7 功耗表 工作电压 VDD 芯片状态 芯片总电流 I vdd ( 典型值 ) 3V 正常工作 1080μA 3V 掉电 ( 待机 ) 模式 0.5μA 5V 正常工作 1200μA 5V 掉电 ( 待机 ) 模式 0.5μA 4

5 参考程序 C 语言 :( 仅供参考 ) /*.h 头文件 */ #ifndef H_ #define H_ TITAN MICRO #define CH1_10HZ 0x01 #define CH1_40HZ 0x02 #define CH2_TEMP 0x03 #define CH1_10HZ_CLK 25 #define CH1_40HZ_CLK 27 #define CH2_TEMP_CLK 26 unsigned long Read_(unsigned char next_select); #endif /*.c 程序文件 */ #include ".h" #include "global.h" // 定义端口 #include "delay.h" // 延时子程序 unsigned long Read_(unsigned char next_select) { unsigned char i = 0; unsigned long data_temp = 0; for(i = 0;i < 24;i++) { // 在 global.h 文件中定义, 置 SCK 脚输出高电平 data_temp <<= 1; delay_us(5); // 延时 5 个微秒, 根据不同的 MCU 自定义此函数 if(read_port & (1 << PIN_DOUT)) // 判断 DOUT 是否为高电平 data_temp = 1; // 在 global.h 文件中定义, 置 SCK 脚输出低电平 } switch(next_select) // 确定下一次数据更新率或者切换通道 { case CH1_10HZ: break; case CH1_40HZ: 5

6 } break; case CH2_TEMP: break; default: break; } return(data_temp); // 返回从 中读出的数据 6

7 封装示意图 DIP8: D c α E E1 A A2 A1 L B1 e B 英寸 毫米 标号 最小 标准 最大 最小 标准 最大 A A A B B c D E E e L α

8 SOP8: α E H c L A A1 e D b 英寸 毫米 标号 最小 标准 最大 最小 标准 最大 A A b c D E e H L α

9 修订历史 版本 发行日期 修订简介 Ver 初版发行 改版发行 9

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

MPW 项目简介

MPW 项目简介 12 通道 LED 驱动控制专用电路 特性描述 是 12 通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 芯片 外接串联电阻,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - TM1804_V1.3.doc

Microsoft Word - TM1804_V1.3.doc 特性描述 是三通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路, 内带 5V 稳压管 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 串接电阻, 工作电压支持 6V~24V 辉度调节电路,256

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

XPT2046芯片用户手册V2.0

XPT2046芯片用户手册V2.0 V2.0 2008 年 9 月 销售电话 :0755-89745826 传真 :0755-84164552 http://www.zhenda-sz.com 第 1 页, 共 27 页 目录 1 功能说明... 4 2 主要特性... 4 3 应用领域... 4 4 典型应用电路... 4 5 极限参数... 5 5.1 电气特性... 6 6 芯片引脚描述... 8 6.1 引脚分配图... 8

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

09

09 郑 振 铎 小 说 的 独 特 价 值 游 友 基 摘 要 郑 振 铎 20 世 纪 20 年 代 的 家 庭 小 说 与 30 年 代 的 历 史 小 说 在 作 家 的 思 想 状 态, 作 品 的 选 材 倾 斜 内 在 结 构 叙 述 态 度 等 方 面 都 有 明 显 区 别, 而 在 诗 的 氛 围 悲 剧 色 彩 散 文 笔 法 朴 实 风 格 等 方 面 又 有 共 同 之 处 家

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

标题,黑体18号

标题,黑体18号 从 商 业 用 地 供 应 看 各 城 市 商 业 地 产 市 场 泡 沫 VIEW 近 几 年, 房 地 产 商 涉 足 商 业 地 产 领 域 的 现 象 越 来 越 普 遍, 包 括 万 科 龙 湖 招 商 等 典 型 房 企 先 后 专 门 设 立 了 商 业 地 产 管 理 部 门, 并 逐 步 加 大 了 对 商 业 地 产 的 投 入 比 例 放 眼 全 国 重 点 城 市, 短 短

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

# 7 % % % < % +!,! %!!

# 7 % % % < % +!,! %!! ! # % 7 8 9 7! & () + ),. + / 0 /. 1 0 /2 &3 )4, 4 4 5 / 6 : /! # ;!!!! # %! &!! ( ) # 7 % % % < % +!,! %!! % % = % % % % % # 9 =! 7 8 7 8 > 8 7 =7 # 9 # 8 7 8 % ) % % % % %! %. / % < < < % / % < < <

More information

#!! +!,! # &!. / !!, 7!!, & #! % 7! % )

#!! +!,! # &!. / !!, 7!!, & #! % 7! % ) !!! #!! #% % & ( & ) %( #!! +!,! # &!. / 0 1 2 34 45 6!!, 7!!, & #! 6 8 5 % 7! % ) ) %!! ( &!, #% & 4 ( % ) ! & ( ) & ) ) ) )! # # 5! # % % +, +, +, +, +, +, +, +,! 1 # # !! # # 9 & &! # # ( , # & # 6

More information

& ( )! +!, # %! ( & &.! / /.

& ( )! +!, # %! ( & &.! / /. ! # # % & ( )! +!, # %! ( & &.! / /. ! ( 0 & #% ( +% 0 /, / ( 0 1 (!# + 0 1 # % ( 0 1 2 3!# % + ( / %! 0! 1 2 3 +! !% ), (! & & ( +/ & ( 4 56 0 1 2 #% ( 0 % /) 1 2 ( 0 1 2 0 7 8 / + ( / 0 + +# 1 + ) 0

More information

霍尔开关集成电路

霍尔开关集成电路 概述 是一款内置霍尔感应及输出单线圈驱动于一体的集成电路, 具有锁机保护和自启动功能, 广泛应用于各类大 小型单相直流马达 内置斩波放大器可以动态调整输入失调电压, 大大提高了磁场灵敏度 高灵敏度的霍尔感应块可以使其用于微型 CPU 冷却风扇以及各类鼓风机和直流风扇 它的工作电压范围宽, 持续工作电流达 300mA 特点 内置霍尔感应块及输出单线圈驱动 工作电压范围宽 :3.2V~18V 输出能力强

More information

%% &% %% %% %% % () (! #! %!!!!!!!%! # %& ( % & ) +, # (.. /,) %& 0

%% &% %% %% %% % () (! #! %!!!!!!!%! # %& ( % & ) +, # (.. /,) %& 0 !! # # %% &% %% %% %% % () (! #! %!!!!!!!%! # %& ( % & ) +, # (.. /,) %& 0 +! (%& / 1! 2 %& % & 0/ / %& + (.%.%, %& % %& )& % %& ) 3, &, 5, % &. ) 4 4 4 %& / , %& ).. % # 6 /0 % &. & %& ) % %& 0.!!! %&

More information

! + +, ) % %.!&!, /! 0! 0 # ( ( # (,, # ( % 1 2 ) (, ( 4! 0 & 2 /, # # ( &

! + +, ) % %.!&!, /! 0! 0 # ( ( # (,, # ( % 1 2 ) (, ( 4! 0 & 2 /, # # ( & ! # %! &! #!! %! %! & %! &! & ( %! & #! & )! & & + ) +!!, + ! + +, ) % %.!&!, /! 0! 0 # ( ( # (,, # ( % 1 2 ) (, 3 0 1 ( 4! 0 & 2 /, # # ( 1 5 2 1 & % # # ( #! 0 ) + 4 +, 0 #,!, + 0 2 ), +! 0! 4, +! (!

More information

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 ------------------------------------------------------------------------------------------------------------------------------------

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy 数字式温湿度传感器 HIH9000 系列 ±.7 %RH( 相对湿度 ) 精度 数据手册 HIH93 一个传感器可提供两种功能! ±.7 %RH 精度 ( 湿度性能 ) ±0.6 C 精度 (BFSL 最佳拟合直线精度 )( 温度性能 ) 工作温度范围 :-40 C - 5 C [-40 F - 57 F] ±.0 %RH 迟滞 HIH930 该系列传感器提供多种封装类型 客户可以选择外壳类型 (SIP

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

一、

一、 一 概述 TC887 是一款无 FM 干扰,AB 类 /D 类可选式功率放大器 5V 工作电压时, 最大驱动功率为 5W(Ω,BTL 负载, THD

More information

1 7 7 15 16 23 26 35 39 40 45 47 49 55 61 61 61 63 69 81 81 83 87 91 91 93 95 97 2 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43

More information

NS4890用户手册

NS4890用户手册 用户手册 1.2 2012 年 10 月 第 1页 共 修改历史 日期 版本 作者 修改说明 第 2页 共 目 录 1 功能说明...5 2 主要特性...5 3 应用领域...5 4 典型应用电路...5 5 极限参数...6 6 电气特性...6 7 芯片管脚描述...8 7.1 7.2 8 管脚分配图... 8 引脚功能描述... 8 B 典型参考特性... 9 8.1 8.2 8.3 8.4

More information

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃 Ver 1.2 辐射加固时钟驱动器 产品使用手册 产品型号 :B54AC2525RH 版本控制页 版本号 发布日期 更改章节 更改说明 备注 V1.0 2018.1.5 / / 初稿 V1.1 2018.4.19 / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V1.2 2018.9.18 6.4 增加 输出振铃抑制 6.5 增加

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

TP3112A

TP3112A 特性描述 TP3112 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺输出端口耐压 24V 内部集成 5V 稳压管芯片 外接串联电阻, 电压支持 6~24V 输入辉度调节电路,256

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

文件编号

文件编号 DATA SHEET 高清视频编码电路 2015.01 成都振芯科技股份有限公司 高清晰视频编码电路 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 01 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :http://www.corpro.cn

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

双竞具体产品名称

双竞具体产品名称 705 系列复位电路 1. 概述 GC705/706/707/708/813L 是一组 CMOS 微处理器监控电路, 可用来监控微处理器系统供电异常 电池故障和工作状态 和采用分立元件及多片 IC 组合成电路相比, 明显减小了系统电路的复杂性和元器件的数量, 并提高了系统的可靠性和精度 GC705/706/813L 具备以下四项基本功能 : 1) 电源开机, 关机及电源供电不足时给出复位输出 2)

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

MPW 项目简介

MPW 项目简介 特性描述 TM1814 是具有内控同步功能的四通道 LED 恒流可调的驱动 IC, 可由 MCU 输入一组恒流设置与 PWM 设置的数据到 TM1814 实现各式各样的显示, 当信号输入断开后实现同步固定七彩花样变化, 可防止芯片损坏导致的后续级联芯片不工作 芯片内部集成有 MCU 固化程序和单线数字接口 数据锁存器 LED 恒流驱动等电路 VDD 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.3 8 位 100MSPS 模数转换器 产品使用手册 产品型号 :B9288 B9288 0 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2018. 2 1.1 2018.8 更改模板, 完善内容 1.2 2018.9 增加 1.3 免责声明, 删除 8.3 产品环境 试验和可靠性 1.3 2018.12 第八章修改 8.3 节市场二部联系方式 1 目 录 一 产品概述...

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒 彙 集 全 球 21 位 醫 生 的 經 驗 和 智 慧, 總 結 出 最 實 用 的 專 業 建 議, 這 些 都 是 最 值 得 你 牢 記 的 健 康 提 醒 top1. 不 是 每 個 人 都 適 合 做 近 視 矯 行 手 術, 除 非 你 在 手 術 前 已 經 持 續 穩 定 地 佩 戴 了 一 年 以 上 的 近 視 眼 鏡 或 者 隱 形 眼 鏡 如 果 你 時 摘 時 戴 眼 鏡,

More information

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关 房 地 产 中 介 服 务 : 仍 处 于 成 长 期, 市 场 空 间 巨 大 作 者 : 庞 增 华 房 地 产 中 介 服 务 业 内 的 企 业 包 括 依 法 设 立 并 具 备 房 地 产 中 介 资 格 的 房 地 产 顾 问 策 划 房 地 产 代 理 销 售 房 地 产 评 估 房 地 产 经 纪 等 中 介 服 务 机 构, 是 房 地 产 开 发 价 值 链 中 不 可 或 缺

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information