Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Size: px
Start display at page:

Download "Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]"

Transcription

1 模数转换器 中国科学技术大学精密机械与精密仪器系 1

2 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2

3 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类 按转换器分辨率分类 按转换速率分类 按模拟输入电路分类 按数字输出接口分类 模数转换器李为民 3

4 1.2.1 按转换信号的关系分类 1. 直接转换型 2. 间接转换型 模数转换器李为民 4

5 1. 直接转换型 转换电路把模拟输入信号 ( 一般是模 拟电压 ) 直接转换成数字信号, 并经数字接口输出, 转换过程中不出 现中间变量 并行比较型 逐次逼近型等 ADC 均属 直接转换型 模数转换器李为民 5

6 2. 间接转换型 转换电路首先把模拟输入信号转换成某个中间变量, 然后把这个中间变量再转换成数字信号并输出 最常见的间接转换型 ADC 电压 -- 时间型 (VT 型 ), 中间变量是时间间隔, 积分型 ADC 属于此类 ; 电压 -- 频率型 (VF 型 ), 中间变量是频率, 压频转换型 ADC 属于此类 虽然转换过程经过中间变量, 但由于模拟输入与中间变量之间以及中间变量与数字输出之间的转换电路结构简单, 因此容易以较低的成本达到较高的精度 模数转换器李为民 6

7 按转换电路结构和工作原 理分类 按不同的转换原理设计出结构各不相同的转换电路, 由于电路结构是影响转换器性能的主要因素, 因此, 这是最主要的分类方法 本节对常见 ADC 的结构作一简要的分类介绍 模数转换器李为民 7

8 按工作原理分类 1. 并行比较型 ( 闪烁型 ) 2. 分级型 3 逐次逼近型 4. 跟踪计数型 5. 积分型 6 压频转换型 7. - 型 模数转换器李为民 8

9 1. 并行比较型 ( 闪烁型 ): 量身高? 模数转换器李为民 9

10 并行比较型 这种转换器包含 2 n -1 个电压比较器, 参考电压 V n REF, 被分压成 2 阶, V REF / 2 n, 2V REF / 2 n,3 V REF / 2 n,,(2 n -1) V REF / 2 n 分别加到这些电压比较器的参考端, 模拟输入电压同时加到所有电压比较器的输入端 输入端电压高于参考端电压的比较器输出为 1, 否则输出为 0, 2 n -1 个比较器的输出 ( 连同 零 有 2 n -1 个输出 ) 经过数字编码获得 n 位二进制数, 即数字输出值 模数转换器李为民 10

11 并行比较型 ( 闪烁型 ): 转换速率 这种转换器的工作原理十分简单, 转换器中 2 n -1 个电压比较器完全是并行工作的, 因此得名 并行比较型, 习惯上也称为 全并行 这类 ADC 的转换速率可高达几十兆次每秒, 是各类 ADC 中转换速度最高的, 因此又有 闪烁 (Flash) 型 ADC 之称 模数转换器李为民 11

12 并行比较型 ( 闪烁型 ): 分辨率 并行比较型 ADC 所含比较器的数量 ( 关系到芯片尺寸 ) 与分辨率 n 呈指数关系, 又由于要实现高速转换, 每个比较器都必须在相当高的功耗下工作, 构成分压器的每个参考电阻的阻值也很低, 以便向高速比较器提供足够大的偏置电流 因此芯片尺寸和功耗将限制了这类转换器的分辨率 模数转换器李为民 12

13 并行比较型 ( 闪烁型 ): 分辨率 就目前 ADC 的制造工艺而言, 并行比较型 ADC 的分辨率一般为 6~8 位, 最高达 10 位 模数转换器李为民 13

14 2. 分级型 (Subranging) 分级型 ADC 把一个高分辨率的 n 位模数转换分成两级 ( 或多级 ) 较低分辨率的转换, 第一级用一个 m(<n) 位并行比较型转换器完成粗转换, 转换结果作为 n 位中的高 m 位, 转换误差小于 m 位的最低有效位 ; 第二级用一个 k(<n) 位并行比较型转换器对第一级转换余下的误差电压再次转换, 转换结果作为 n 位中的低位, 其中 m+k n 模数转换器李为民 14

15 分级型 : 电压比较器及分压电阻的数量 分级转换可以大大减少电压比较器及分压电阻的数量, 以 12 位 ADC 为例, 并行比较型 ADC 需要 (=4095) 个比较器 ; 如果分成各 6 位两级转换, 则只需要 (=126) 个比较器 这种分成两级转换的 ADC 又称为 半闪烁 ADC, 分成三级或三级以上转换的 ADC 称为 多级 (Multistep) ADC 模数转换器李为民 15

16 分级型 : 转换速率 分级转换必然影响转换速率 作为提高转换速率的方法是采用多级保持器 第一级转换余下的误差电压被保持在第二级保持器中 ; 在第二级转换同时, 第一级就可以对输入电压进行下一次采样和转换, 这就大大提高了采样速率 这就是 分级流水 (Pipeline) 型 ADC 模数转换器李为民 16

17 3 逐次逼近型 天平称重? 模数转换器李为民 17

18 逐次逼近型 这种 ADC 是用一个电压比较器将模拟输入电压与一个 n 位 DAC 的输出电压进行比较, 这个 n 位 DAC 的数字输入是由一个逐次逼近寄存器提供的 模数转换器李为民 18

19 逐次逼近型 逐次逼近寄存器 在转换器的控制电路控制下, 从高位到低位逐位被置 1 或清 0, 使 DAC 的输出电压逐步逼近模拟输入电压, 经过 n 次比较和逼近, 最终逐次逼近寄存器中的数字 ( 即 DAC 的输入 ) 就是模数转换的结果 模数转换器李为民 19

20 逐次逼近型 逐次逼近的过程类似于用天平和砝码称量一个物体的质量, 从大砝码到小砝码逐一试称的过程 由于要经历 n 次比较, 所以转换速度不如前两种, 但转换器包含的元件数量较少, 能以较低的制造成本获得较高的分辨率, 因此在中 低速应用场合得到广泛应用 模数转换器李为民 20

21 4. 跟踪计数型 跟踪计数型与逐次逼近型有相似之处 转换器包含了一个电压比较器和一个 n 位 DAC 但一个可逆计数器代替了逐次逼近寄 存器和控制逻辑 模数转换器李为民 21

22 跟踪计数型 可逆计数器在时钟脉冲作用下不停地计数, 计数器的值作为 DAC 的数字输入 ; 电压比较器的输出控制了可逆计数器的计数方向 ; 使 DAC 的输出不停地跟踪模拟输入电压, 计数器的值即为 ADC 的数字输出值 模数转换器李为民 22

23 跟踪计数型 跟踪计数型 ADC 的电路结构比逐次 逼近型简单, 计数器能及时跟踪模拟输入电压, 特别适用于需要快速跟踪的伺服系 统 模数转换器李为民 23

24 5. 积分型 从转换信号的关系来说, 积分型 ADC 属于间接转换型 转换器中的积分器把模拟输入电压转换成与之成比例的时间间隔 ; 在这时间间隔内一个 n 位计数器对频率固定的时钟脉冲计数, 最终的计数值与时间间隔成正比, 反映了输入平均电压的大小 模数转换器李为民 24

25 积分型 为了减小积分器的元件参数和参考电压对积分精度的影响, 通常要对输入电压和参考电压各进行一次积分, 因此又称为双积分型 ADC 积分器和计数器结构简单, 成本低, 此外积分器具有低通特性, 能抑制高频噪声, 但工作速度比较低, 因此积分型 ADC 被广泛用于低频 高精度的数字仪表电路中 模数转换器李为民 25

26 6 压频转换型 压频转换又称 VF 转换 首先把模拟电压转换成频率与该电压成正比的脉冲信号, 然后在单位时间内用计数器对脉冲计数, 计数值与频率成正比, 反映了模拟电压的大小 模数转换器李为民 26

27 压频转换 显然 VF 型也属间接转换型, 中间变量是频率 专用的 VF 转换芯片已非常成熟, 再与计数器配合可以构成高分辨率 低成本的 ADC 模数转换器李为民 27

28 7. - 型 - 型 ADC 以很低的采样分辨率 (1 位 ) 和很高的采样速率将模拟信号数字化, 利用过采样技术 噪声整形和数字滤波技术增加有效分辨率 近年来 - 型模数转换技术发展很快, 转换分辨率已高达 24 位, 在各类模数转换器中分辨率是最高的, 因此在低成本 高分辨率的低频 ( 直流到音频 ) 信号处理场合得到了广泛应用, 有取代双积分型 ADC 的趋势 模数转换器李为民 28

29 1.2.3 按转换器分辨率分类 常见的 ADC 的分辨率在 6 位至 24 位, 分辨率的高 低不易作确切的划分, 它与使用的场合和不同历史时代的制造水平有关 但习惯上把 6~8 6 8 位称为低分辨率, 12~16 位称为中分辨率, 而高分辨率通常指 16 位以上 模数转换器李为民 29

30 分辨率与转换电路结构 分辨率与转换电路结构有一定的联系, 但不能看成某种固定关系 例如, 并行比较型 ADC 的分辨率多半不高, 而大多数 - 型 ADC 是高分辨率的, 但高分辨率 ADC 并非一定是 - 型的, 逐次逼近型 ADC 则既有 8 位的, 又有中 高分辨率的 模数转换器李为民 30

31 1.2.4 按转换速率分类 不同 ADC 的转换速率差异很大 如同分辨率一样, 转换速率的高 低也不易作确切的划分, 但习惯上把 转换时间在毫秒量级的称为低速, 转换时间在微秒量级的称为中速, 转换时间在纳秒量级的称为高速 模数转换器李为民 31

32 转换速率与转换电路 转换速率与转换电路的结构 原理有比较密切的关系 并行比较型和分级型成为高速 ADC 的主流 双积分型 ADC 肯定是低速的 而转换时间在微秒量级的是逐次逼近型 ADC 的天下 模数转换器李为民 32

33 转换速率与转换电路 但是随着制造工艺水平的提高 逐次逼近型 ADC 的转换时间也能达到几百纳秒 - 型 ADC 已超出了音频领域, 最高采样速率已达到 1MSPS 模数转换器李为民 33

34 转换分辨率和转换速率 学习和掌握模数转换器通常从模数转换器的电路结构和工作原理着手, 而在实际应用过程中, 转换分辨率和转换速率则是选用模数转换器的主要依据 模数转换器李为民 34

35 1.2.5 按模拟输入电路分类 大多数 ADC 的模拟输入信号直接进入转换器的输入端, 但也有不少 ADC 的模拟输入电路带有某些模拟信号处理电路, 常见的功能电路有 : 采样保持器, 带有采样保持器的 ADC 称为采样 ADC 多路模拟开关, 可以实现多路数据采集 可编程增益放大器, 以便适应不同幅度的模拟输入信号 差动输入电路, 以提高共模抑制能力 模数转换器李为民 35

36 1.2.6 按数字输出接口分类 ADC 的数字输出端通常要与数字信号处理电路或微处理器相连, 信号连接电路称为接口, 常见接口分为 : 1. 并行接口 2. 串行接口 模数转换器李为民 36

37 1. 并行接口 ADC 的 n 位转换结果通过多位数据线 ( 可能是 n 位, 也可能是 8 位 ) 同时输出, 称为并行输出 完成并行输出的接口电路称为并行接口 并行接口的数据传输速率高, 接口电路比较简单, 程序设计比较容易, 但占用芯片引脚多, 体积大 模数转换器李为民 37

38 2. 串行接口 ADC 的 n 位转换结果通过一条数据线逐 位输出, 称为串行输出 完成串行输出的接口电路称为串行接 口 为了使串行数据的传输能与微处理器 的数据接口同步, 通常需要有相关的 同步时钟信号 模数转换器李为民 38

39 串行接口 串行输出的数据传输速率低, 但占用芯片引脚少, 体积小, 并能减少微处理器端口的占有量, 便于简化系统的结构, 并能适用于远距离的数据传送 因此, 近年来具有串行接口的 ADC 芯片种类增多 模数转换器李为民 39

40 1.2.7 可编程模数转换器 传统的 ADC 的内部结构是固定的, 也就是说, 某一个特定型号的模数转换芯片的主要特性是一定的, 用户无法改变它 但随着可编程技术的发展, 近年来出现了不少可编程模数转换芯片 用户可以通过编程改变模数转换芯片内部的部分结构的组态, 以满足不同系统的设计要求, 如通过编程设置分辨率 模拟输入的量程 选择内部数字滤波器的工作方式 改变数字输出接口的方式等 模数转换器李为民 40

41 1.2.8 模数转换子系统 模数转换器被广泛用于数字仪表 数 据采集 数字通信等各类系统中, 为了构成一个完整的系统, 通常还要 连接采样保持放大器 模拟开关 数 字信号处理器 数字显示电路等多个 外围芯片 模数转换器李为民 41

42 模数转换子系统 然而随着集成电路制造技术的进步, 芯片设计师们把许多原来由外围芯片完成的功能集成到 ADC 芯片中去了, 使系统结构大为简化 例如, 把可编程放大器 多路模拟开关和模数转换器集成在一个芯片上, 构成数据采集系统, 某些 ADC 芯片上还集成了数字信号处理器 微处理器 存储器等部件 这些单片系统就是 模数转换子系统, 或称 片内系统 (System on-chip) 模数转换器李为民 42

43 模数转换器的发展趋势 近年来模数转换器制造技术发展十分迅速, 竞争十分激烈, 制造商们不断推出低成本 高性能的 ADC 新产品 总体发展趋势可以归纳为以下几个方面 : 新结构 高分辨率和高精度 高速 低电压和低功耗 小型化 单片系统 低成本 模数转换器李为民 43

44 1.2.9 新结构 型和分级流水型 ADC 特别引人关注, 近年来它们分别是高分辨率 ADC 和高速 ADC 的主流结构 传统的逐次逼近型 ADC 也采用了新技术, 如电荷重分布技术就是一例, 使逐次逼近型 ADC 的速度和分辨率都有了明显提高 模数转换器李为民 44

45 高分辨率和高精度 高分辨率的 型 ADC 已被用于数字音频系统, 使音频信号的动态范围和信噪比大大提高 ; 高分辨又高精度的 型 ADC 被用于仪表测量系统, 在某些场合将取代双积分型 ADC 模数转换器李为民 45

46 高速 分级流水结构圆满地解决了速度和分 辨率之间的矛盾, 为数字视频和数字通信领域提供了高速 高分辨率的 ADC 本来属于中 低速的逐次逼近型 型 ADC 的转换速度也在不断提高 模数转换器李为民 46

47 低电压和低功耗 使用 3~5V 单电源的 ADC 已十分流行, 有的 ADC 电源电压仅 1.8V, 某些芯片 的待机功耗降低到 w 量级 这种发展趋势体现了 1V 电子学 的 新概念 模数转换器李为民 47

48 小型化 小型表面贴装芯片越来越流行, 满足了系统的小型化要求和自动贴装生产线的需要 模数转换器李为民 48

49 单片系统 越来越多的 ADC 芯片上集成了采样保持放大器 模拟开关, 以至数字信号处理器和微处理器, 构成模数转换子系统, 大大简化了系统结构和提高了系统可靠性 模数转换器李为民 49

50 低成本 在各类集成电路中 ADC 芯片的成本是比较高的, 但近年有大幅下降 同等性能的 ADC 芯片今天的价格是十年前的几分之一, 甚至几十分之一 模数转换器李为民 50

51 END 模数转换器李为民 51

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师

电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师 电院 2018 年硕士夏令营暨自主招生复试成绩公示 (7 月 ) 各位考生, 下面表中是参加电院 2018 年硕士夏令营暨自主招生的复试成绩公示名单, 具体拟录取情况要等 9 月中旬才能得知 名单中的考生如有放弃或没有取得本科推免资格的, 请及时邮件通知马老师 (jinglema@sjtu.edu.cn) 谢谢! 电气工程系 2018 年硕士夏令营暨自主招生复试成绩汇总表 (7 月 ) 身份证号码

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术 第十章数模和模数转换 一概述 将模拟量转换为数字量的过程称为模 / 数 (Analog to Digital) 转换, 简称 A/D 转换 实现 A/D

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9]

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9] 39 11 2014 11 GeomaticsandInformationScienceofWuhanUniversity Vol.39No.11 Nov.2014 DOI:10.13203/j.whugis20130265 :1671-8860(2014)11-1347-05 PPS GPS-GLONASS 123 1 23 4 23 1 450001 2 710054 3 710054 4 710054

More information

模拟信号到数字信号的转换

模拟信号到数字信号的转换 数据采集 基础知识 : 模拟信号到数字信号的转换 Copyright 014 Measurement Computing Corporation ADC 芯片类型 模拟 - 数字转换器 (ADCs) 将模拟电压信号转换至二进制数字 ( 一系列的 1 和 0), 最终将其转换至数值 ( 十进制数 ), 以便显示在仪表, 监视器或者图表上 二进制位的位数, 也就是我们常说的比特 (bits) 数代表了模数转换的精度

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究 第 卷第 期 年 月 东南大学学报 房建成万德钧吴秋平 东南大学仪器科学与工程系 南京 提出一种改进的强跟踪卡尔曼滤波算法 应用于 动态定位滤波中获得明显效果 首先采用描述机动载体运动的 当前 统计模型 建立了一种新的 动态定位扩展卡尔曼滤波模型及其自适应算法 然后 为了进一步提高滤波器的动态性能 改进了周东华等提出的强跟踪滤波器 大大提高了 动态定位扩展卡尔曼滤波器的跟踪能力 动态定位 卡尔曼滤波

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

李俊新 崔 敏 刘艳春 姚艳君 周广芬 孙 宝 河北科技大学理学院 河北石家庄 滦南县职业教育中心基础部 河北滦南 在物理化学实验的基础上 对一级反应的 种不同数据处理模型进行比较和分析 通过对 实验数据处理模型进行系统的比较 来改善传统实验数据处理中存在的一些问题 从而简化数据处 理 减小作图工作量与作图误差 提升实验水平 提高数据处理结果的准确性 一级反应 数据处理模型 过氧化氢 图 过氧化氢分解实验装置图

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

西南科大教字[2005] 号

西南科大教字[2005]  号 西 南 科 大 教 字 [2006]8 号 关 于 印 发 西 南 科 技 大 学 本 科 人 才 培 养 方 案 实 施 细 则 的 通 知 各 学 院 ( 部 中 心 ): 现 将 西 南 科 技 大 学 本 科 人 才 培 养 方 案 实 施 细 则 发 给 你 们, 请 认 真 遵 照 执 行 西 南 科 技 大 学 教 务 处 二 六 年 二 月 二 十 八 日 1 西 南 科 技 大 学

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

第 期 等 乙腈 碳酸氢钠溶液混合物电嫁接叔丁氧羟基 乙二胺 7B7! " # $% # &'#! "% #!! #& (& )&# &#&# #*$% + %!, # $% "( ( # ( # "! #-.& #% & (& %" & %& & #& (& & )&# &#& # & "%&/

第 期 等 乙腈 碳酸氢钠溶液混合物电嫁接叔丁氧羟基 乙二胺 7B7!  # $% # &'#! % #!! #& (& )&# &#&# #*$% + %!, # $% ( ( # ( # ! #-.& #% & (& % & %& & #& (& & )&# &#& # & %&/ 第 (, 卷第 ( 期 ( 1 年 月 )0 2032 =F 0=< D@ 6 G H7!.2(,222 7.2( $&.22( 1,./.,( EI.! "&7. B ( 2 '>20.2*' & &.201 21 -(5>2, 22222222222222222222222 # /, B, 1 -( 15 (, 2 2222222222222222222222222222222 >EE! "&7..:.!

More information

三坐标重复性和再现性分析

三坐标重复性和再现性分析 四 绘制极差图 五 绘制均值图 六 评价原则测量系统可接受性的通用比例原则 : %GRR 低于 10% 的误差 可接受的测量系统 %GRR 在 10% 到 30% 的误差 根据应用的重要性 测量装置的成本 维修费用等, 可能是可接受的 %GRR 大于 30% 的误差 不可接受, 应尽各种力量以改进这测量系统 区别分类数 (ndc) 要大于或等于 5 极差图评价 : 若所有的极差均受控, 则说明所有评价人都进行了相同的工作

More information

審計準則公報制定之目的與架構

審計準則公報制定之目的與架構 1 2 3 4 5 (67) $2,000,000 $1,200,000 $800,000 $800,000 12% $8,000 $500,000 10% $4,167 $600,000 8% $4,000 $3,200,000($2,000,000$1,200,00020/30$800,00015/30 $3,200,000) $800,000 $800,000 $800,00012%1/12$8,000

More information

通常情况下, 模拟信号输入接口共享一个通用输入输出 (I/O) 缓冲器结构体, 此结构体可配置为数字域或模拟域, 或者也可以由两个域共用 多配置性实现方法允许设计人员根据其系统需求在多个芯片引脚上划分模拟和数字功能 作为输入多路复用器的一部分, 最常见的辅助输入之一是片上的温度传感器 ; 其他的重要

通常情况下, 模拟信号输入接口共享一个通用输入输出 (I/O) 缓冲器结构体, 此结构体可配置为数字域或模拟域, 或者也可以由两个域共用 多配置性实现方法允许设计人员根据其系统需求在多个芯片引脚上划分模拟和数字功能 作为输入多路复用器的一部分, 最常见的辅助输入之一是片上的温度传感器 ; 其他的重要 在 MCU 系统中如何利用 ADC 技术进行数据采集 使用 MCU 的系统设计人员受益于摩尔定律, 即通过更小封装 更低成本获得更多的丰富特性功能 嵌入式系统设计人员和 MCU 厂商关心数据采集系统的三个基本功能 : 捕获 计算和通信 理解全部功能对设计大有帮助, 本文将主要关注数据采集系统的捕获阶段 捕获 复杂的混合信号 MCU 必须能够从模拟世界中捕获某些有用信息, 并且能够把连续时间信号转换成离散的数字形式

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

STM32F37x/38x SDADC Sigma-Delta ADC

STM32F37x/38x SDADC Sigma-Delta ADC 应用笔记 STM32F37x/38x SDADC (Sigma-Delta ADC) 入门 前言 STM32F37x/38x 系列微控制器整合了带有 DSP 与 FPU 指令 工作频率为 72 MHz 的 32 位 ARM Cortex-M4 内核和高级模拟外设 此系列是 Cortex-M4 内核和精确 16 位 Sigma-Delta ADC 的结合 本文概述了 SDADC 的主要特性, 并演示了怎样在各种应用案例中使用

More information

33 5 Vol.33,No JournalofHebeiUniversityofScienceandTechnology Oct.2012 : (2012) /,, ( 河北科技大学机械工程学院, 河北石家庄 ) : 利用计算流体

33 5 Vol.33,No JournalofHebeiUniversityofScienceandTechnology Oct.2012 : (2012) /,, ( 河北科技大学机械工程学院, 河北石家庄 ) : 利用计算流体 33 5 Vol.33,No.5 2012 10 JournalofHebeiUniversityofScienceandTechnology Oct.2012 :1008-1542(2012)05-0453-06 /,, ( 河北科技大学机械工程学院, 河北石家庄 050018) : 利用计算流体力学软件 FLUENT 的凝固 / 熔化模型, 对双层壁圆筒内填充的铝硅合金相变材料的熔化 / 凝固过程进行了数值模拟,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

电机控制方案的发展趋势lastpart.pptx

电机控制方案的发展趋势lastpart.pptx MC56F82xx 飞思卡尔创新的 DSC 产品 叶万富 应用工程师 1 MC56F82xx DSC 产品系列 精准 快速 强劲新推出的 MC56F82xx 数字信号控制器 (DSC) 能为更平稳 更优良的电源和电机控制应用提供经济有效的解决方案 增强的高精度 PWM 模块 520ps 分辨率 ( 占空比和周期 ) 达到 The 8 个支持中心对齐 explanation, that 边沿对齐和非对称

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级

学年第一学期临潼校区第十六周期末考试安排 考试时间 课程名称 班级 人数 考试地点 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 1 班 28 C-154 12 月 17 日 8:00-10:00 传热学 建筑环境与能源应用工程 16 级 2 班 29 C-154 12 月 17 日 9:50-11:50 国际市场营销学 (B) 市场营销 16 级 1 班 26 C-352 12 月 17 日 9:50-11:50 实用管理英语 人力资源管理

More information

_SAR8.dita

_SAR8.dita 8-Bit 逐次逼近 ADC 数据表 SAR8 V 1.0 001-66286 Rev. ** 8-Bit Successive Approximation ADC Copyright 2005-2010 Cypress Semiconductor Corporation. All Rights Reserved. 资源 PSoC 模块 API 存储器 ( 字节 ) 引脚 ( 每个外部 I/O 和时数字模拟

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信

国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括测控装置 同步相量测量装置 网络报文记录分析装置 时间同步装置 数据通信 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测合格产品公告 电力工业电力系统自动化设备质量检验测试中心 2017 年 7 月 1 日 国家电网公司 2017 年 四统一 四规范 自动化类装置集中检测送检装置包括 同步相量测量装置 网络报文记录分析装置 时间同步装置 通过检测的装置清单如下 : 1. 北京四方继保自动化股份有限公司 1 间隔测控 CSI-200F-DA-1 北京四方继保自动化股份有限公司

More information

安徽大学硕士学位论文低电压 CMOS 混频器的设计姓名 : 梁洪波申请学位级别 : 硕士专业 : 电路与系统指导教师 : 陈军宁 20070401 低电压 CMOS 混频器的设计 作者 : 梁洪波 学位授予单位 : 安徽大学 相似文献 (0 条 ) 本文链接 :http://d.g.wanfangdata.com.cn/thesis_y1192309.aspx

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

江西省普通高等学校高水平运动队建设

江西省普通高等学校高水平运动队建设 江 西 省 普 通 高 等 学 校 高 水 平 运 动 队 新 增 项 目 ( 田 径 ) 申 报 材 料 井 冈 山 大 学 2015 年 7 月 20 日 井 冈 山 大 学 田 径 高 水 平 运 动 队 建 设 材 料 目 录 一 新 申 请 学 校 田 径 高 水 平 运 动 队 建 设 项 目 申 报 表 二 新 申 请 学 校 田 径 高 水 平 运 动 队 建 设 项 目 评 估

More information

铁路机车车辆用电缆

铁路机车车辆用电缆 SPC 上力缆 塑料绝缘屏蔽电线 PVC INSULATION,FLEXIBLE SHIELDED WIRE SHANGHAI POWER CABLE & WIRE CO., LTD. - 1 - 塑料绝缘屏蔽电线 额定电压 / V AVP 铜芯聚氯乙烯绝缘安装用屏蔽电线 固定敷设 RVP 1 铜芯聚氯乙烯绝缘屏蔽软电线铜芯聚氯乙烯绝缘屏蔽聚氯乙烯护套软电线铜芯聚氯乙烯绝缘缠绕屏蔽聚氯乙烯护套软电线

More information

untitled

untitled info FAULHABER info 2 FAULHABER info 3 FAULHABER info 4 FAULHABER info 5 FAULHABER info 设备与工艺 海底地震仪 借助高转矩密度 精密运动 多个小型步进电机可 海底地震检波器如 小型海底地震仪必 须是坚固可靠的 6 FAULHABER FA AULHABER A ULHAB R info in nfo fo 2 2012

More information

气溶胶光学厚度 的测量原理 Ê

气溶胶光学厚度 的测量原理 Ê 肖钟湧 江洪 余树全 周国模 陈然 宋晓东 焦荔 洪盛茂 常杰 江波 南京大学国际地球系统科学研究所 南京 浙江林学院国际空间生态与生态系统生态研究中心 杭州 杭州市环境监测总站 杭州 浙江大学生命科学学院 杭州 浙江省林业科学研究院 杭州 气溶胶光学厚度 的测量原理 Ê 仪器和观测 实验场地 数据处理 气溶胶光学厚度的高光谱反演 浑浊度系数 波长指数 Ê Ê 精度检验 气溶胶光学厚度 浑浊度系数

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

Visio-Default configuration and primary diagram_cn.vsd

Visio-Default configuration and primary diagram_cn.vsd 7VU68xxxxx0 Ux_L 760 > 闭锁快切 786 > 手动复归快切 I T_L VT_L J Ix_L N/ 767 > 进线 事故起快切 类 7667 > 进线 事故起快切 类 0 进线 事故起动信号 类进线 事故起动信号 类 Q N/ N/ N/ N/ 7948 快切成功 7949 快切失败 O O R 80 快切充电完成 O VT_ Ua_ Ub_ Uc_ O4 O5 opyright

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

图 3. 采用模拟和数字滤波进行过采样 Σ-Δ 调制器和量化噪声整形一阶 Σ-Δ 型 ADC 的功能框图如图 6.4 所示 转换器的第一部 分是 Σ-Δ 调制器, 它以采样时钟频率 kf S 所决定的速率将输 入信号转换为连续的 1 和 0 串行流 该串行输出数据流驱动 1 位 DAC, 然后从输入

图 3. 采用模拟和数字滤波进行过采样 Σ-Δ 调制器和量化噪声整形一阶 Σ-Δ 型 ADC 的功能框图如图 6.4 所示 转换器的第一部 分是 Σ-Δ 调制器, 它以采样时钟频率 kf S 所决定的速率将输 入信号转换为连续的 1 和 0 串行流 该串行输出数据流驱动 1 位 DAC, 然后从输入 应用笔记 Σ-Δ 型 ADC 和 DAC Σ-Δ 概述过去几年间,Σ-Δ 架构由于在混合信号 VLSI 工艺中有助于实现高分辨率 ADC, 因而日益受到青睐 然而, 直到最近, 商业化生产这些器件所需的工艺技术尚未问世 现在,1 微米及更小的 CMOS 几何结构的制造条件已经成熟, 因此 Σ-Δ 转换器在某些类型的应用中将变得更为常见, 特别是在单芯片上集成 ADC DAC 和 DSP 功能的混合信号

More information

ART2030 SSI数据输出卡

ART2030 SSI数据输出卡 SSI-5S 分布式 SSI 接口卡 硬件使用说明书 产品研发部修订 目录 目录... 第一章功能概述... 第一节 产品应用... 第二节 主要指标... 第三节 板卡外形尺寸... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明... 第三章信号输入输出连接器和跳线器...4 第一节 SSI 信号采集输入连接器定义...4 第二节 SSI 信号仿真输出连接器定义...5

More information

运用多媒体提升实验教学有效性的研究.doc

运用多媒体提升实验教学有效性的研究.doc 运 用 体 提 升 教 学 有 效 性 的 研 究 付 简 阳 阳 安 中 学, 四 川 省 资 阳 市 641300 摘 要 在 潜 心 研 读 课 程 标 准 和 教 材 细 心 揣 摩 学 生 认 知 规 律 的 基 础 上, 从 六 个 方 面 ( 即 六 化 ) 开 发 出 体 介 入 教 学 的 课 程 资 源 ; 采 用 四 个 模 块 八 个 环 节 的 课 堂 教 学 方 法 检

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

第 05 期 董房等 : 一种卫星遥测在线状态监测及分析系统的设计 WEB 1 2 总体功能及组成 2.1 总体功能 1 2 3Web 2.2 结构组成 Web WEB WEB 2.3 系统各模块接口关系

第 05 期 董房等 : 一种卫星遥测在线状态监测及分析系统的设计 WEB 1 2 总体功能及组成 2.1 总体功能 1 2 3Web 2.2 结构组成 Web WEB WEB 2.3 系统各模块接口关系 电子科学技术 Electronic Science & Technology 电子科学技术第 02 卷第 05 期 2015 年 9 月 Electronic Science & Technology Vol.02 No.05 Sep.2015 年 一种卫星遥测在线状态监测及分析系统的设计 董房 1,2, 刘洋 2, 王储 2 2, 刘赞 (1. 上海交通大学, 上海,200240; 2. 上海卫星工程研究所,

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

8:10-9:50 第二公共教学楼 A 高等数学 ( 理二 1) 环境 环境与化学工程学院 8:10-9:50 第二公共教学楼 A 高等数学 ( 理二 1) 应化 环境与化学工程学院 8:10-9:50 第二公共教学楼 A2

8:10-9:50 第二公共教学楼 A 高等数学 ( 理二 1) 环境 环境与化学工程学院 8:10-9:50 第二公共教学楼 A 高等数学 ( 理二 1) 应化 环境与化学工程学院 8:10-9:50 第二公共教学楼 A2 考试时间 2016-2017 学年第一学期期末集中考试安排 (20 周 ) 考试日期 :1 月 9 日星期一 考场所在教学楼 ( 教学区 ) 考试教室课程号课程名 考生所在专业 ( 班级 ) 考生所属学院 8:10-9:50 第二公共教学楼 A101 10811036 高等数学 ( 理二 1) 纺织 1601-2 纺织学院 8:10-9:50 第二公共教学楼 A103 10811036 高等数学 (

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

南京理工大学硕士学位论文高精度激光测距仪硬件电路研究姓名 : 付宝臣申请学位级别 : 硕士专业 : 通信与信息系统指导教师 : 宋耀良 20070601 高精度激光测距仪硬件电路研究 作者 : 付宝臣 学位授予单位 : 南京理工大学 本文链接 :http://d.g.wanfangdata.com.cn/thesis_y1154660.aspx

More information

石油与天然气地质 杨少春 信荃麟 断块油藏测井解释模型的建立 资料的处理及储层评价应始终考虑地质因素的影响 不同类型储层 不同沉积相带以及不同开发时期的测井响应 岩性 物性 韵律性 电性及含水率等均不相同 根据这些差异和特点 分别建立了孔隙度 渗透率和含油饱和度等参数的解释模型和计算模型 提高了解释精度 勘探和开发阶段测井资料的处理除应考虑岩性 沉积相带 注水后储层结构变化外 还应考虑断块的复杂性及断块之间的联系

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information