内容目录 1. 核心观点 芯片设计 上市公司在细分领域有亮点, 核心关键领域芯片设计能力不足 高端芯片设计对海外依赖程度较高, 上市公司在细分领域有亮点 美国主导全球 IC 设计产业, 中国是重要参与者 高端设计能力

Size: px
Start display at page:

Download "内容目录 1. 核心观点 芯片设计 上市公司在细分领域有亮点, 核心关键领域芯片设计能力不足 高端芯片设计对海外依赖程度较高, 上市公司在细分领域有亮点 美国主导全球 IC 设计产业, 中国是重要参与者 高端设计能力"

Transcription

1 半导体 从上市公司角度深度解析贸易战对国内集成电路产业影响及相关对策建议 本文从上市公司角度, 推演国内芯片行业发展, 根据上市公司类型, 细分为芯片设计, 制造, 封测, 设备, 材料等五大领域, 以上市公司为代表, 详细阐述国内企业目前发展现状, 与海外同业相比的差距和针对性发展建议 具体到各个细分领域, 我们认为在芯片设计和设备领域培养长期竞争力的挑战难度最高, 制造领域中等, 封测领域相对较低 : 1) 设计 : 细分领域具备亮点, 核心关键领域设计能力不足, 长期来看可透过海外合作 并购与产业链整合逐步提升高端关键芯片竞争力 ; 2) 设备 : 自足率低, 需求缺口极大, 当前在中端设备实现突破, 初步产业链成套布局, 但高端制程 / 产品仍需攻克, 短期内可通过与非美海外企业合作, 降低对美国的依赖 ; 3) 材料 : 在靶材等领域已经比肩国际水平, 但在光刻胶等高端领域仍需较长时间实现国产替代, 判断可从政策扶持 + 海外并购两方面积极整合 ; 4) 封测 : 最先能实现自主可控的领域, 受贸易战影响较低, 上市公司有望通过规模效应成为全球龙头 ; 5) 制造 : 全球市场集中, 台积电占据一半以上份额, 受贸易战影响相对较低 大陆跻身第二集团, 全球产能扩充集中在大陆地区, 未来可通过资本扩充 + 人才集聚向第一梯队进军 总的来说, 虽然部分领域有短期对策, 但整体而言国内半导体从设计到制造端的发展仍是长期抗战 ; 长期除了通过依靠资金支持与内需市场之外, 也需要通过积极寻求国际合作等方式, 强化自身在重要领域的技术实力 风险提示 : 贸易战实质影响超预期 ; 国内集成电路产业发展不达预期 投资评级 证券研究报告 2018 年 07 月 25 日 行业评级强于大市 ( 维持评级 ) 上次评级 作者 强于大市 潘暕分析师 SAC 执业证书编号 :S panjian@tfzq.com 陈俊杰分析师 SAC 执业证书编号 :S chenjunjie@tfzq.com 行业走势图 资料来源 : 贝格数据 半导体沪深 % 44% 35% 26% 17% 8% -1% -10% 相关报告 1 半导体 - 行业研究周报 : 财报季 ( 台积电 /Skyworks)/Q3 供应链拉货动能回暖, 惟需谨慎看待部分业者库存水位 半导体 - 行业专题研究 :8 英寸晶圆线的矛与盾 半导体 - 行业专题研究 : 聚焦产品竞争力系列 北方华创, 天时地利人和俱备, 短中长期逻辑清晰, 边际变化显著 请务必阅读正文之后的信息披露和免责申明 1

2 内容目录 1. 核心观点 芯片设计 上市公司在细分领域有亮点, 核心关键领域芯片设计能力不足 高端芯片设计对海外依赖程度较高, 上市公司在细分领域有亮点 美国主导全球 IC 设计产业, 中国是重要参与者 高端设计能力不足, 对美国企业依赖程度较高 芯片设计上市公司都是在细分领域的国内最强者 全志科技 汇顶科技 盈方微 兆易创新 富瀚微 北京君正 中颖电子 国科微 纳思达 圣邦股份 欧比特 上海贝岭 士兰微 紫光国微 富满电子 东软载波 晓程科技 韦尔股份 中国设计公司成长的几点启示 依托国内电子整机厂商优势, 发展供应链上游芯片设计 人工智能芯片 在新兴赛道上实现弯道超车机会 传统通用型芯片 道阻且长, 与海外的合作 & 虚拟 IDM 模式是潜在路径 设备与材料 低端制程实现国产替代, 高端制程有待突破 从下游判断中国半导体设备自足率低 需求缺口极大 从营收判断中国半导体设备进口依赖度 国产份额低 规模小 中端设备实现了从 0 到 1 国产化突破, 高端制程 / 产品仍需进行攻克 相关上市公司介绍 硅片制造设备 : 晶盛机电 昔日光伏装备龙头, 如今半导体设备新贵 核心制程设备 : 北方华创 A 股半导体制造设备龙头 后端设备 : 长川科技 以客户为中心融合高研发投入, 政策助推下实现稳健成长 材料 细分领域已经实现弯道超车, 核心领域仍未实现突破 请务必阅读正文之后的信息披露和免责申明 2

3 上市公司在部分细分领域上比肩国际领先, 高端领域仍未实现突破 加速高端产品 / 制程国产化 政策资金助力 + 寻求海外合作 / 并购 + 产业链整合 封测 最先能实现国产自主可控的领域 上市公司都是国内龙头, 跻身全球前十 封测企业进入壁垒低, 上市公司未来几年通过规模效应成为全球龙头 长电科技 国内领先的封测企业 公司介绍 公司财务分析 通富微电 成长中的龙头 公司简介 财务分析 华天科技 优质运营促进内生增长 公司简介 华天科技的先进封装 TSV 制造 跻身第二集团, 通过资本扩张和人才集聚, 有望实现向第一集团的突破 中芯国际 穿越黑暗隧道, 迎接希望曙光 全球领先的晶圆代工厂 晋升之路 : 发力多工艺节点 构建完整的代工制造平台 决战 28nm 长生命周期 追求更高制程突破, 星星之火可以燎原 华虹半导体 晶圆代工中流砥柱 主营特色工艺技术独特 英寸生产线卷土重来 华力微电子先进工艺前景光明 公司前景预测 图表目录 表 1: 各领域企业核心企业及其挑战和对策... 7 图 2: 全球设计行业增速显著优于半导体整体行业增速... 9 图 3: 中国芯片设计行业市场增速及同比 ( 亿元,%)... 9 图 4:2017 年 IC 设计产业按地域划分 (%)... 9 图 5: 世界前 50 Fabless IC 设计公司中的中国公司数量 ( 个 ) 图 6:2017 年全球前十大 Fabless IC 设计厂商 ( 百万美元 ) 图 7: 年全志科技业绩表现 ( 单位 : 百万元 ) 图 8: 年汇顶科技业绩表现 ( 单位 : 百万元 ) 图 9: 年盈方微业绩表现 ( 单位 : 百万元 ) 图 10: 年兆易创新业绩表现 ( 单位 : 百万元 ) 图 11: 年富瀚微业绩表现 ( 单位 : 百万元 ) 图 12: 年北京君正业绩表现 ( 单位 : 百万元 ) 请务必阅读正文之后的信息披露和免责申明 3

4 图 13: 年中颖电子业绩表现 ( 单位 : 百万元 ) 图 14: 年国科微业绩表现 ( 单位 : 百万元 ) 图 15: 年纳思达业绩表现 ( 单位 : 百万元 ) 图 16: 年圣邦股份业绩表现 ( 单位 : 百万元 ) 图 17: 年欧比特业绩表现 ( 单位 : 百万元 ) 图 18: 年上海贝岭业绩表现 ( 单位 : 百万元 ) 图 19: 年士兰微业绩表现 ( 单位 : 百万元 ) 图 20: 年紫光国微业绩表现 ( 单位 : 百万元 ) 图 21: 年富满电子业绩表现 ( 单位 : 百万元 ) 图 22: 年东软载波业绩表现 ( 单位 : 百万元 ) 图 23: 年晓程科技业绩表现 ( 单位 : 百万元 ) 图 24: 年韦尔股份业绩表现 ( 单位 : 百万元 ) 图 25: 中国智能手机品牌全球市占率不断提升 ( 单位 : 百万部 ) 图 26: 智能手机 SOC 芯片市场划分 (%) 图 27:2016 年汇顶指纹识别芯片市占率 (%)( 现已超越 FPC) 图 28:2016 年全球 CIS 芯片市占率 (%) 图 29: 摩尔定律在放缓 图 30: 全球智能手机每月产生的数据量 (EB)5 年提升了 13X 图 31: 人工智能芯片产业链 图 32: 国家大基金的大力支持 图 33: 国家大基金的投资进程 图 34: 半导体设备国内外主要参与者 图 35: 关键设备呈现垄断局面 图 36:2017 中国半导体设备十强 ( 按销售金额排列 ) 图 37: 封装领域部分国产设备市占率提升明显 (%) 图 38: 步入生产验证的 14nm 国产设备 图 39: 国产设备在先进制程上与国内先进水平有 2-6 年差距 图 40: 不同制程制程半导体设备国产化率 (%) 图 41: 公司营收及增速 ( 亿元,%) 图 42: 公司归母净利润及同比增速 ( 亿元,%) 图 43: 公司毛利率及净利率波动 (%) 图 44: 公司半导体领域主要产品 图 45: 年营收净利润情况 ( 万元,%) 图 46: 各版块营收情况 ( 百万元 ) 图 47: 北方华创半导体设备产品线 图 48: 各设备公司研发费用对比 ( 亿元,%) 图 49: 公司营收及同比增长 ( 亿元,%) 图 50: 公司毛利率和净利率 (%) 图 51: 分产品毛利率水平 (%) 图 52: 公司产品与国外领先产品对比 图 53: 半导体材料细分占比 (%) 请务必阅读正文之后的信息披露和免责申明 4

5 图 54: 材料国产化率 2017vs2011(%) 图 55: 零部件国产化率 2017vs2011(%) 图 56:2017 年主要封测企业营收净利润 ( 亿元 ) 图 57:2017 TOP10 封测企业营收排名 ( 亿美元 ) 图 58: 年封测行业集中度 (%) 图 59: 晶圆代工 (Foundry)VS 封测 (OSAT) 图 60: 封测行业技术演变 图 61: 各大公司资本性支出 ( 十亿元 ) 图 62: 主要企业的毛利率分布 (%) 图 63: 大陆地区平均增长率与全球比较 (%) 图 64: 大陆封测企业客户 图 65: 大陆封装企业先进封装技术 图 66: 长电科技股权结构 图 67: 星科金朋股权结构示意图 图 68: 公司重组基本信息 图 69: 公司股权结构情况及变化 (%) 图 70:2018 年主要生产基地的收入产能预测 ( 万块 ) 图 71:2018 年主要生产基地的产能规模占比 (%) 图 72:TSV 先进封装技术的年均增长率 (%) 图 73:2017 年世界晶圆厂营收前十强 ( 亿美元 ) 图 74:2017 年全球晶圆代工市场份额占比 (%) 图 75: 全球半导体销售额 ( 百万美元 ) 图 76: 中国半导体产能 (KWPM) 图 77: 公司发展历程 图 78: 中芯国际 VS 台积电产能 (K/ 年 ) 图 79: 摩尔定律路径 图 80: 摩尔定律走向极限 图 81: 最新制程的 Fab 成本 ( 亿美元 ) 图 82: 制程成本比较 (%) 图 83: 晶圆制造寡头垄断 图 84: 全球 & 中国 Fabless 产值 ( 十亿美元 ) 图 85: 中芯国际中国区收入及占比 ( 亿元,%) 图 86: 年各工艺制程营收占比 (%) 图 87:28nm 产能占比 (%) 图 88:28nm 制程需求量 ( 万片 / 年 ) 图 89: 目前 28nm 应用以手机应用处理器和基带为主 图 90: 公司主要技术平台和技术节点 图 91: 华虹半导体现阶段股权结构示意图 (2018.1) 图 92: 晶圆厂数量预测 ( 个, 包括 IDM 和代工厂 ) 图 93:2018 年按产品分类的 200mm 晶圆需求 (%) 请务必阅读正文之后的信息披露和免责申明 5

6 表 1: 前十大 IC 设计国外厂商在中国区营收占比 (%) 表 2: 国内核心芯片设计领域占有率低 表 3: 国内设计厂商全球市占率 (%) 表 4:2017 年国内主要 IC 设计企业市值 营收 利润水平与海外对标企业 ( 市值截止 18 年 7 月 20 日 ) ( 单位 : 百万元人民币 ) 表 5:2017 年海外对标企业市值 营收 利润水平 ( 单位 : 百万人民币 ) 表 6: 半导体设备商 17 年营收及中国区营收比例 (%) 表 7: 国产设备已形成初步产业链成套布局 表 8: 北方华创千人计划人员名单 表 9: 全球晶圆制造材料主要供应商 表 10: 全球封装材料主要供应商 表 11: 半导体材料 A 股上市公司一览 表 12: 世界半导体封测十强 ( 亿美元 ) 表 13: 通富微电营收测算 ( 亿元 ) 表 14: 通富微电净利润测算 ( 亿元 ) 请务必阅读正文之后的信息披露和免责申明 6

7 1. 核心观点 本文从上市公司角度, 推演国内芯片行业发展, 根据上市公司类型, 细分为芯片设计, 制造, 封测, 设备, 材料等五大领域, 以上市公司为代表, 详细阐述国内企业目前发展现状, 与海外同业相比的差距和针对性的发展建议 具体到各个细分领域, 我们认为在芯片设计和设备领域培养长期竞争力的挑战难度最高, 制造领域中等, 封测领域相对较低 : 1) 设计 : 细分领域具备亮点, 核心关键领域设计能力不足, 长期来看可透过海外合作 并购与产业链整合逐步提升高端关键芯片竞争力 ; 2) 设备 : 自足率低, 需求缺口极大, 当前在中端设备实现突破, 初步产业链成套布局, 但高端制程 / 产品仍需攻克, 短期内可通过与非美海外企业合作, 降低对美国的依赖 ; 3) 材料 : 在靶材等领域已经比肩国际水平, 但在光刻胶等高端领域仍需较长时间实现国产替代, 判断可从政策扶持 + 海外并购两方面积极整合 ; 4) 封测 : 最先能实现自主可控的领域, 受贸易战影响较低, 上市公司有望通过规模效应成为全球龙头 ; 5) 制造 : 全球市场集中, 台积电占据 60% 的份额, 受贸易战影响相对较低 大陆跻身第二集团, 全球产能扩充集中在大陆地区, 未来可通过资本扩充 + 人才集聚向第一梯队进军 总的来说, 虽然部分领域有短期对策, 但整体而言国内半导体从设计到制造端的发展仍是长期抗战 ; 长期除了通过依靠资金支持与内需市场之外, 也需要通过积极寻求国际合作等方式, 强化自身在重要领域的技术实力 表 1: 各领域企业核心企业及其挑战和对策 半导体行业 挑战 对策 关键国内企业 ( 上市公司标粗 ) 直接受贸易战潜在影响 短期因应措施 培养长期竞争力的挑战难度 芯片设计 从应用类别 ( 如 : 手机到 国内企业在细分领域已 全志科技 汇顶科技 高无极高 汽车 ) 到芯片项目 ( 如 : 有丰硕成果 长期而言, 盈方微 兆易创新 富 处理器到 FPGA), 国内 透过海外合作 并购与产 瀚微 北京君正 中颖 在高端关键芯片自给率 业链整合逐步提升高端 电子 国科微 纳思达 几近为 0, 仍高度仰赖美 关键芯片竞争力 圣邦股份 欧比特 上 国企业 海贝岭 士兰微 紫光 国微 富满电子 东软 载波 晓程科技 韦尔 股份 海思 展讯 比 特大陆 格科微 豪威 科技 澜起科技 设备 据 SEMI 统计, 中国本 短期而言, 可透过採购国 晶盛机电 北方华 高无极高 土半导体设备厂商只占 内 日本 荷兰企业设备, 创 长川科技 精测 全球份额的 1-2%, 在 降低对美国企业依赖 长 电子 中电科 中微半 关键领域如 : 沉积 刻 期而言, 国内设备在关键 导体沈阳拓荆上海 蚀 离子注入 检测等, 领域已实现产业链成套 微电子装备 仍高度仰赖美国企业 布局 ( 如 : 沉积 刻蚀 清洗 检测等 ), 以此持续 投入研发以攻克高端设 备市场 请务必阅读正文之后的信息披露和免责申明 7

8 半导体行业 挑战 对策 关键国内企业 ( 上市公司标粗 ) 直接受贸易战潜在影响 短期因应措施 培养长期竞争力的挑战难度 材料 据 SEMI 统计, 全球半 半导体的基础核心材料 江丰电子 中环股份 中等无高 导体材料市场规模 443 国产化具有必要性和紧 南大光电 雅克科技 亿美金, 晶圆制造材料 迫性, 中国在此板块起步 上海新阳 阿石创 隆 供应中国占比 10% 以 较晚, 长期而言, 需通过 华节能 有研新材 深 下, 部分封装材料供应 一系列政策和资金的扶 南电路 丹邦科技 江 占比在 30% 以上 在部 持来推动与加速国产化 化微 晶瑞股份 光华 分细分领域上比肩国际 科技 巨化股份 鼎龙 领先, 高端领域仍未实 股份 飞凯材料 容大 现突破 感光 强力新材 宁波 金瑞泓 上海合晶 / 晶 盟 上海新傲 上海新 昇 北京科华 苏州瑞 红 佛山华特 中船重 工 718 研究所 上海安 集 上海新安纳 宁波 康强 宁波华龙 铜陵 三佳 北京达博 宁波 康强 珠海越亚 江苏 中鹏 封测 封测行业国内企业整体 封测行业技术迭代路线 长电科技 华天科技 低不需要中等 实力不俗, 在世界拥有 并不显着, 利于国内企业 通富微电 晶方科技 较强竞争力, 长电 + 华天 追赶 因进入壁垒不高, + 通富三家 17 年全球整 故上市公司未来几年应 体市占率达 19%, 美国 通过规模效应成为全球 主要的竞争对手仅为 龙头 Amkor 此行业较不受 贸易战影响 制造 代工业呈现非常明显的 在国家政策和大基金持 中芯国际 华虹半导体中等不需要高 头部效应, 在全球前十 续支持下有望进行快速 大代工厂商中, 台积电 追赶, 将有效提振整个半 一家占据了 60% 的市场 导体行业链的技术密度 份额 此行业较不受贸 易战影响 资料来源 :wind,semi, 天风证券研究所 注 : 本报告分析并不包含贸易战间接影响 ( 如美国企业透过持有或政治施压对非美海外企业施压等 ), 解决方案也不包含非行业但更重要的方案 ( 如人才教育 ) 建议在考虑完整的贸易战对策时, 也须考虑上述与行业非直接相关的因素 2. 芯片设计 上市公司在细分领域有亮点, 核心关键领域芯片设计能力不足 芯片设计业处于半导体行业的最上游, 无论是全球还是国内, 都是增速最快的领域 受益于国内下游终端需求巨大和政府政策大力支持, 国内 IC 设计产业一直高速迅猛发展 请务必阅读正文之后的信息披露和免责申明 8

9 图 2: 全球设计行业增速显著优于半导体整体行业增速图 3: 中国芯片设计行业市场增速及同比 ( 亿元,%) CAGR=20.3% 60% 50% 40% 30% 20% 10% 0% -10% IC 设计销售额 同比 资料来源 :SEMI, 天风证券研究所 资料来源 : 前瞻产业研究院, 天风证券研究所 从国内芯片设计领域的代表来看 : 中国的华为海思和紫光展锐已经成为全球领先的智能手机主处理器芯片的设计厂商, 并在产值上跃升为全球前十大的 Fabless 供应商, 中国的芯片设计厂商不光在智能手机领域上有所崛起, 同时在其他细分领域市场也有优秀公司的涌现 比如格科微占据了 500MB 以下 CIS 市场的大多数市场份额, 上市公司汇顶科技在指纹识别芯片市场的出货量位居世界领先水平, 兆易创新在 Nor Flash 市场份额名列前茅 2.1. 高端芯片设计对海外依赖程度较高, 上市公司在细分领域有亮点 美国主导全球 IC 设计产业, 中国是重要参与者 按地域来看, 当前全球 IC 设计仍以美国为主导, 中国大陆是重要参与者 2017 年美国 IC 设计公司占据了全球约 53% 的最大份额, 预计新博通将总部全部搬到美国后这一份额将攀升至 69% 左右 台湾地区 IC 设计公司在 2017 年的总销售额中占 16%, 与 2010 年持平 联发科 联咏和瑞昱去年的 IC 销售额都超过了 10 亿美元, 而且都跻身全球前二十大 IC 设计公司之列 欧洲 IC 设计企业只占了全球市场份额的 2%, 日韩地区 Fabless 模式并不流行 图 4:2017 年 IC 设计产业按地域划分 (%) 资料来源 :IC Insights, 天风证券研究所 与非美国海外地区相比, 中国公司表现突出 世界前 50 fabless IC 设计公司中, 中国公司数量明显上涨, 从 2009 年 1 家增加至 2017 年 10 家, 呈现迅速追赶之势 2017 年全球前十大 Fabless IC 厂商中, 美国占据 7 席, 包括高通 英伟达 苹果 AMD Marvell 博通 赛灵思 ; 中国台湾地区联发科上榜, 大陆地区海思和紫光上榜, 分别排名第 7 和第 10 请务必阅读正文之后的信息披露和免责申明 9

10 图 5: 世界前 50 Fabless IC 设计公司中的中国公司数量 ( 个 ) 图 6:2017 年全球前十大 Fabless IC 设计厂商 ( 百万美元 ) 资料来源 :IC Insights, 天风证券研究所 资料来源 :IC Insights, 天风证券研究所 高端设计能力不足, 对美国企业依赖程度较高 但需要看到的是, 国内对于美国公司在核心芯片设计领域的依赖程度较高 从前十大 IC 设计厂商中国外公司在中国区的营收占比来看, 高通 博通和美满电子在中国区营收占比达 50% 以上, 国内高端 IC 设计能力严重不足 表 1: 前十大 IC 设计国外厂商在中国区营收占比 (%) 公司中国区营收占比主要产品 65.4% 手机处理器芯片 高通 射频 / 基带芯片电源管理芯片 GPU/NPU 博通 53.6% 射频 / 基带芯片 NVIDIA 19.5% 汽车 ADAS 中控 GPU 苹果 19.5% 手机处理器芯片 AMD 32.8% GPU 赛灵思 25.4% FPGA Marvell 53.5% 存储芯片控制器 尤其在核心的高端通用型芯片领域, 国内的设计公司可提供的产品几乎为 0, 这是在 中兴 事件发生之后对于芯片设计公司需要额外值得重视的关键 表 2: 国内核心芯片设计领域占有率低 系统 设备 核心集成电路 国产芯片占有率 计算机系统 服务器 MPU 0% 个人电脑 MPU 0% 工业应用 MCU 2% 通用电子系统 可编程逻辑设备 FPGA/EPLD 0% 数字信号处理设备 DSP 0% 通信装备 移动通信终端 Application processor 18% Communication processor 22% Embedded MPU 0% 请务必阅读正文之后的信息披露和免责申明 10

11 Embedded DSP 0% 核心网络设备 NPU 15% 内存设备 半导体存储器 DRAM 0% NAND FLASH 0% NOR FLASH 0% Image processor 5% 显示及视频系统 高清电视 / 智能电视 Display processor 5% Display driver 0% 资料来源 : 2017 年中国集成电路产业现状分析, 天风证券研究所 大陆高端通用芯片与国外先进水平差距主要体现在四个方面 : 1) 移动处理器的国内外差距相对较小 紫光展锐 华为海思等在移动处理器方面已进入全球前列 2) 中央处理器 (CPU) 是追赶难度最大的高端芯片 英特尔几乎垄断了全球市场, 国内相关企业约有 3-5 家, 但都没有实现商业量产, 大多仍然依靠申请科研项目经费和政府补贴维持运转 龙芯等国内 CPU 设计企业虽然能够做出 CPU 产品, 而且在单一或部分指标上可能超越国外 CPU, 但由于缺乏产业生态支撑, 还无法与占主导地位的产品竞争 3) 存储器国内外差距同样较大 目前全球存储芯片主要有三类产品, 根据销售额大小依次为 :DRAM NAND Flash 以及 Nor Flash 在内存和闪存领域中,IDM 厂韩国三星和海力士拥有绝对的优势, 截止到 2017 年, 在两大领域合计市场份额分别为 75.7% 和 49.1%, 中国厂商竞争空间极为有限, 武汉长江存储试图发展 3D Nand Flash( 闪存 ) 的技术, 但目前仅处于 32 层闪存样品阶段, 而三星 英特尔等全球龙头企业已开始陆续量产 64 层闪存产品 ; 在 Nor flash 这个约为三四十亿美元的小市场中, 兆易创新是世界主要参与厂家之一, 其他主流供货厂家为台湾旺宏, 美国 Cypress, 美国美光, 台湾华邦 4)FPGA AD/DA 等高端通用型芯片, 国内外技术悬殊 这些领域由于都是属于通用型芯片, 具有研发投入大, 生命周期长, 较难在短期聚集起经济效益, 因此在国内公司层面发展较为缓慢, 甚至有些领域是停滞的 表 3: 国内设计厂商全球市占率 (%) 细分方向大陆企业全球市占率国内相关公司 ( 标黑为上市公司 ) 存储芯片 1% 长江存储 合肥长鑫 福建晋华 CPU/MPU 1% 龙芯 兆芯 飞腾 申威等 AP/BP 12% 华为海思 紫光展讯 传感器执行器 1% 士兰微 MCU 芯片 6% 兆易创新 中颖电子 炬力 华润微电子 华 大半导体等 模拟芯片 1% 圣邦股份 韦尔股份 FPGA/CPLD 1% 资料来源 :IC Insights, 天风证券研究所 京微雅格 高云 FPGA 同方国芯 上海安路 西安智多晶鞥 芯片设计上市公司都是在细分领域的国内最强者 总的来看, 芯片设计的上市公司, 都是在细分领域的国内最强 比如 2017 年汇顶科技在指纹识别芯片领域实现了对瑞典 FPC 的超越, 成为国产设计芯片在消费电子细分领域少有的全球第一 士兰微从集成电路芯片设计业务开始, 逐步搭建了芯片制造平台, 并已将技 请务必阅读正文之后的信息披露和免责申明 11

12 术和制造平台延伸至功率器件 功率模块和 MEMS 传感器的封装领域 但与国际半导体大厂相比, 不管是高端芯片设计能力, 还是规模 盈利水平等方面仍有非常大的追赶空间 表 4:2017 年国内主要 IC 设计企业市值 营收 利润水平与海外对标企业 ( 市值截止 18 年 7 月 20 日 ) ( 单位 : 百万元人民币 ) 公司名称 总市值 2017 营业收入 2017 净利润 芯片类型 海外对标 智能终端应用处理芯片 : 高 全志科技 7, , Dialog 意法半导体智能应用处理器 SOC 通 飞思卡尔和智能模拟芯片设计智能电源管理芯片 :TI 汇顶科技 30, , 指纹识别芯片设计 FPC 盈方微 3, 智能应用处理器 SOC 高通 飞思卡尔 兆易创新 33, , 存储芯片 三星 海力士 富瀚微 6, 视频监控芯片 TI SONY 北京君正 4, 智能穿戴 智能视频等嵌入式 CPU 三星 飞思卡尔 中颖电子 5, 家电 : 瑞萨家电 电脑数码 电源电脑数码 : 飞思卡尔管理 MCU 节能应用 :TI 国科微 6, 广播电视 智能监控 监控 : 安霸 TI 固态存储系列芯片固态存储 :Marvell 纳思达 32, , , 打印机 SOC 芯片 通用 MCU MCU: 瑞萨 飞思卡尔 圣邦股份 8, 模拟芯片 ADI TI 欧比特 7, 航空航天领域嵌入式 SoC - 上海贝岭 8, 模拟和数模芯片 ADI Infineon 士兰微 16, , 功率半导体 Infineon 紫光国芯 28, , 智能卡芯片 存储芯片 高通 富满电子 4, 电源管理和 LED 驱动芯片 TI Fairchild ADI 东软载波 7, 载波芯片 MCU - 晓程科技 2, 载波芯片 - 韦尔股份 17, , 电源管理 SOC 射频芯片设计 Infineon TI NXP 表 5:2017 年海外对标企业市值 营收 利润水平 ( 单位 : 百万人民币 ) 公司名称 市值 营收 净利润 高通 583, , , 意法半导体 139, , , TI 757, , , 三星 35, ,463, , 海力士 382, , , 瑞萨 100, , , 安霸 8, , SONY 453, , , Analog 243, , , 请务必阅读正文之后的信息披露和免责申明 12

13 Infineon 396, , , Dialog 9, NXP 238, , , Marvell 72, , , 全志科技 全志科技成立于 2007 年, 于 2015 年深交所创业板上市 公司是领先的智能应用处理器 SoC 和模拟芯片设计厂商, 在超高清视频编解码 高性能 CPU/GPU 多核整合 先进工艺的高集成度 超低功耗等方面处于业界领先水平, 产品领域覆盖车联网 智能硬件 智能家电 服务机器人 无人机 虚拟现实 平板电脑 OTT 盒子 移动互联网设备以及智能电源管理等 年, 公司营收 CAGR=-7.64%,2017 年营收 亿元, 同比 -4.08%, 净利润 -174 万元, 同比 % 图 7: 年全志科技业绩表现 ( 单位 : 百万元 ) , , , , , 营收 净利润 营收 YOY 净利润 YOY 80% 30% -20% -70% -120% 汇顶科技 汇顶科技成立于 2002 年, 于 2016 年上交所主板上市 公司作为人机交互领域可靠的技术与解决方案提供商, 在包括手机 平板和可穿戴产品在内的智能移动终端人机交互技术领域不断取得新进展, 陆续推出拥有自主知识产权的 Goodix Link 技术 指纹识别与触控一体化的 IFS 技术 活体指纹检测技术 屏下光学指纹识别技术等, 产品和解决方案应用在华为 联想 中兴 OPPO vivo 魅族 乐视 三星显示 JDI 诺基亚 东芝 松下 宏碁 华硕等国际国内知名终端品牌 公司 年营收 CAGR=52.23%, 净利润 CAGR=36.35%,2017 年公司营收 亿元, 同比 %, 净利润 8.87 亿元, 同比 +3.53% 图 8: 年汇顶科技业绩表现 ( 单位 : 百万元 ) 请务必阅读正文之后的信息披露和免责申明 13

14 , , , 营收 净利润 营收 YOY 净利润 YOY 200% 150% 100% 50% 0% -50% 盈方微 盈方微成立于 2008 年, 是国内领先的 SoC 芯片设计企业, 公司是一家专业集成电路设计和智能影像算法研发的公司, 专注于应用处理器和智能影像处理器 SOC 及应用平台的设计和研发 公司在多核高性能 CPU/GPU 架构整合 超低功耗架构 超高清视频编解码 高性能 ISP 图像信号处理器 智能视频分析和机器视觉算法等核心技术研发处于业界领先水平, 产品主要应用于视频监控 数码相机 虚拟现实 车联网 物联网 平板电脑 智能机顶盒等领域 年公司营收 CAGR=22.47%,17 年营收 2.41 亿元, 同比 %, 净利润 亿元, 同比 % 图 9: 年盈方微业绩表现 ( 单位 : 百万元 ) % 0% -400% % % 营收净利润营收 YOY 净利润 YOY -1600% 兆易创新 兆易创新成立于 2005 年,2016 年于上交所主板上市 公司是一家以中国为总部的全球化芯片设计公司, 致力于各类存储器 控制器及周边产品的设计研发, 研发人员占全员比例 55% 公司产品为 NOR Flash NAND Flash 以及 MCU, 广泛应用于手持移动终端 消费电子类电子产品 个人电脑及周边 网络电信设备 医疗设备 办公设备 汽车电子及工业控制设备等各个领域 请务必阅读正文之后的信息披露和免责申明 14

15 年公司营收 CAGR=26.65%, 净利润 CAGR=55.87%,2017 年公司营收 亿元, 同比 %, 净利润 3.98 亿元, 同比 % 图 10: 年兆易创新业绩表现 ( 单位 : 百万元 ) , , , 营收 净利润 营收 YOY 净利润 YOY 140% 120% 100% 80% 60% 40% 20% 0% 富瀚微 富瀚微成立于 2004 年,2017 年于深交所创业板上市 公司专注于视频监控芯片及解决方案, 满足高速增长的数字视频监控市场对视频编解码和图像信号处理的芯片需求, 提供高性能视频编解码 SoC 和图像信号处理器芯片, 以及基于这些芯片的视频监控产品方案, 致力于与国内外设备制造商 解决方案提供商建立紧密合作关系, 共同把握市场契机, 为客户提供高性价的产品和服务, 持续创造价值 年公司营收 CAGR=38.27%, 净利润 CAGR=38.25%,2017 年公司营收 3.21 亿元, 同比 %, 净利润 1.06 亿元, 同比 -3.84% 图 11: 年富瀚微业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 250% 200% 150% 100% 50% 0% 北京君正 北京君正成立于 2005 年, 于 2011 年在深交所创业板上市 公司由国产微处理器的最早倡导者在业内著名风投资金的支持下发起, 致力于在中国研制自主创新 CPU 技术和产品, 目前已发展成为一家国内外领先的嵌入式 CPU 芯片及解决方案提供商 请务必阅读正文之后的信息披露和免责申明 15

16 公司拥有全球领先的嵌入式 CPU 技术和低功耗技术 针对移动产品的特点, 北京君正创造性地推出了其独特的 MIPS32 兼容的微处理器技术 XBurst, 其主频 多媒体性能 面积和功耗均领先于工业界现有的 32 位 RISC 微处理器内核 同时公司针对可穿戴式和智能设备市场推出 M 系列芯片, 并针对智能手表 智能眼镜等推出了一揽子解决方案 年公司营收 CAGR=18.10%, 净利润 CAGR=-28.79%,2017 年公司营收 1.84 亿元, 同比 %, 净利润 650 万元, 同比 -7.81% 图 12: 年北京君正业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 500% 400% 300% 200% 100% 0% -100% -200% 中颖电子 中颖电子成立于 1994 年, 于 2012 年在深交所创业板上市 公司是一家专注于单片机集成电路设计与销售的高新技术企业, 专注于单片机 (MCU) 产品集成电路设计,MCU 母体包括 4-bit OTP/MASK MCU 8-bit OTP/MASK MCU 8-bit FLASH MCU, 主要应用于各种小家电 白色家电 黑色家电 汽车电子周边 运动器材 医疗保健 四表 ( 水 电 气 暖 ) 仪器仪表 安防 电源控制 马达控制 工业控制 变频 数码电机 计算机键盘 鼠标 网络音乐 ( 便携式 车载 床头音响 ) 无线儿童监控器 无线耳机 / 喇叭 / 门铃 年公司营收 CAGR=19.37%, 净利润 CAGR=48.74%,2017 年公司营收 6.86 亿元, 同比 %, 净利润 1.29 亿元, 同比 % 图 13: 年中颖电子业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 140% 120% 100% 80% 60% 40% 20% 0% 请务必阅读正文之后的信息披露和免责申明 16

17 国科微 国科微成立于 2008 年, 于 2017 年在深交所创业板上市 公司长期致力于广播电视 智能监控 固态存储 物联网等领域大规模集成电路及解决方案开发, 先后推出了支持 NDS 高级安全的解码芯片 H.265 高清芯片 高端音响芯片 高端固态存储控制芯片 高清安防监控芯片等一系列拥有核心自主知识产权的芯片, 在多个领域填补国内空白 实现替代进口 年公司营收 CAGR=48.39%, 净利润 CAGR=65.87%,2017 年公司营收 4.12 亿元, 同比 -15.8%, 净利润 0.46 亿元, 同比 -7.38% 图 14: 年国科微业绩表现 ( 单位 : 百万元 ) % 400% 300% 营收 净利润 营收 YOY 净利润 YOY 200% 100% 0% -100% 纳思达 纳思达成立于 1991 年, 于 2007 年在深交所中小企业板上市 公司是国际领先的打印综合方案商, 拥有打印机及打印耗材加密 SOC 芯片的核心技术, 通过现金收购 SCC 控股多家竞争企业 联合收购全球标志性品牌 LEXMARK 等一系列资产运作之后, 在芯片 耗材零部件 耗材 激光打印机 打印管理服务等上下游完整产业链进行布局, 彻底改变了原装和通用耗材行业的世界格局 公司全资子公司艾派克是为我国唯一掌握自主核心技术和知识产权的国产激光打印机 - 奔图提供芯片的供应商, 并作为全球唯一的全自主国产 SOC 系列芯片开发者, 目前已成为国内激光打印机专用 SOC 芯片的引领者, 并且是全球最大兼容耗材 SOC 芯片的供应商 年公司营收 CAGR=253.32%, 净利润 CAGR=398.72%,2017 年公司营收 213 亿元, 同比 %, 净利润 亿元, 同比 +633% 图 15: 年纳思达业绩表现 ( 单位 : 百万元 ) 请务必阅读正文之后的信息披露和免责申明 17

18 , , , , 营收 净利润 营收 YOY 净利润 YOY 800% 600% 400% 200% 0% -200% -400% 圣邦股份 圣邦股份成立于 2007 年, 于 2017 年在深交所创业板上市 公司是高性能模拟芯片 fabless 厂商, 从事芯片研发和销售, 覆盖信号链和电源管理两大领域 公司目前产品涵盖信号链和电源管理两大领域, 包括运算放大器 比较器 音 / 视频放大器 模拟开关 AFE 线性稳压器 DC/DC 转换器 OVP 负载开关 LED 驱动器 CPU 电源监控电路 马达驱动 MOSFET 驱动及电池管理芯片等 广泛应用于消费电子 工业控制 医疗仪器 汽车电子 物联网 可穿戴设备 人工智能等新兴电子产品领域 模拟芯片行业具有穿越硅周期的属性, 并将模拟确定为半导体跨年度投资主线之一 通过产业链验证,8 寸晶圆下游需求的旺盛将持续较长时间, 模拟芯片在终端应用上的分散化和碎片化带来的风险分散稳定增长, 而超越摩尔定律下终端应用的渗透扩散又将带来加速增长拐点, 持续看好 2018 年国内模拟芯片企业取得跨越式的增长 圣邦股份作为国内模拟芯片龙头公司, 基本面将持续向好 年公司营收 CAGR=20.85%, 净利润 CAGR=18.21%,2017 年公司营收 5.31 亿元, 同比 +17.6%, 净利润 0.94 亿元, 同比 % 图 16: 年圣邦股份业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 35% 30% 25% 20% 15% 10% 5% 0% 请务必阅读正文之后的信息披露和免责申明 18

19 欧比特 欧比特成立于 2000 年, 于 2010 年在深交所创业板上市 公司是我国 军民融合 战略的积极践行单位, 主要从事宇航电子 微纳卫星星座及卫星大数据 人工智能技术和产品的研制与生产, 服务于航空航天 国防工业 地理信息 国土资源 农林牧渔 环境保护 交通运输 智慧城市 现代金融 个人消费等领域 公司致力于嵌入式 SOC 处理器芯片 SIP 立体封装模块 / 系统 EMBC 宇航总线控制系统的研制 设计 生产和销售, 是我国宇航 SPARC V8 处理器 SOC 芯片的标杆企业 SIP 立体封装模块 / 系统的开拓者 年公司营收 CAGR=48.67%, 净利润 CAGR=43.78%,2017 年公司营收 7.39 亿元, 同比 %, 净利润 1.2 亿元, 同比 % 图 17: 年欧比特业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 140% 120% 100% 80% 60% 40% 20% 0% -20% 上海贝岭 上海贝岭成立于 1998 年, 于 1998 年在上交所主板上市 公司前身是上海贝岭微电子制造有限公司,1988 年由上海市仪表局 上海贝尔公司合资设立, 是国内集成电路行业的第一家中外合资企业 1998 年 8 月改制上市后, 公司更名为上海贝岭股份有限公司, 是国内集成电路行业的第一家上市公司 公司提供模拟和数模混合集成电路及系统解决方案 公司目前集成电路产品业务覆盖计量及 SoC 电源管理 通用模拟 非挥发存储器 高速高精度 ADC 五大产品领域, 主要目标市场为电表 手机 液晶电视及平板显示 机顶盒等各类工业及消费电子产品 年公司营收 CAGR=-1.03%, 净利润 CAGR=42.36%,2017 年公司营收 5.61 亿元, 同比 %, 净利润 1.75 亿元, 同比 +331% 图 18: 年上海贝岭业绩表现 ( 单位 : 百万元 ) 请务必阅读正文之后的信息披露和免责申明 19

20 营收 净利润 营收 YOY 净利润 YOY 350% 300% 250% 200% 150% 100% 50% 0% -50% 士兰微 士兰微成立于 1997 年, 于 2003 年在上交所主板上市 公司是一家专业从事集成电路以及半导体微电子相关产品的设计 生产与销售的高新技术企业 公司主要产品是集成电路以及相关的应用系统和方案, 主要集中在以下三个领域 : 以消费类数字音视频应用领域为目标的集成电路产品, 包括以光盘伺服为基础的芯片和系统 年公司营收 CAGR=13.75%, 净利润 CAGR=-3.41%,2017 年公司营收 亿元, 同比 %, 净利润 1.03 亿元, 同比 % 图 19: 年士兰微业绩表现 ( 单位 : 百万元 ) , , , , , 营收 净利润 营收 YOY 净利润 YOY 600% 500% 400% 300% 200% 100% 0% -100% -200% 紫光国微 紫光国微成立于 1991 年, 于 2005 年在深交所中小企业板上市 公司是紫光集团有限公司旗下的半导体行业上市公司, 专注于集成电路芯片设计开发业务, 是领先的集成电路芯片产品和解决方案提供商, 产品及应用遍及国内外, 在智能安全芯片 高可靠特种集成电路 高稳定存储器芯片 安全自主 FPGA 功率半导体器件 超稳晶体频率器件等核心业务领域已形成领先的竞争态势和市场地位 请务必阅读正文之后的信息披露和免责申明 20

21 年公司营收 CAGR=18.74%, 净利润 CAGR=0.47%,2017 年公司营收 亿元, 同比 %, 净利润 2.79 亿元, 归母净利润同比 % 图 20: 年紫光国微业绩表现 ( 单位 : 百万元 ) , , , , 营收 净利润 营收 YOY 净利润 YOY 100% 80% 60% 40% 20% 0% -20% -40% 富满电子 富满电子成立于 2001 年, 于 2017 年在深交所创业板上市 公司是一家从事高性能模拟及数模混合集成电路设计研发 封装 测试和销售的国家级高新技术企业 目前拥有电源管理 LED 驱动 MOSFET 等涉及消费领域 IC 产品四百余种 公司目前拥有 IC 产品 200 多种, 特别是在消费性产品电源管理类 LED 控制类 功放类的产品拥有较高的市场占有率 借对市场趋势的掌握和不断致力于新产品的研发及技术的创新, 公司目前拥有 IC 产品 200 多种, 特别是在消费性产品电源管理类 LED 控制类 功放类的产品拥有较高的市场占有率 年公司营收 CAGR=21.28%, 净利润 CAGR=23.74%,2017 年公司营收 4.4 亿元, 同比 +33.4%, 净利润 0.58 亿元, 同比 53.04% 图 21: 年富满电子业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 60% 50% 40% 30% 20% 10% 0% 请务必阅读正文之后的信息披露和免责申明 21

22 东软载波 东软载波成立于 1992 年, 于 2011 年在深交所创业板上市 公司自 1996 年起开展电力线载波通信技术研究,2000 年推出第一代电力线载波通信芯片, 至今已发展了 6 代产品 依托强大的研发实力, 公司相继开发出窄带低速 窄带高速 宽带低速 宽带高速等系列电力载波通信芯片 累计销售 2 亿多片, 在网运行东软载波方案超过 1 亿 公司现已形成了以智能制造为基础, 以芯片设计为源头, 智能电网与智能化应用两翼齐飞的产业布局 年公司营收 CAGR=16.07%, 净利润 CAGR=-0.01%,2017 年公司营收 9.13 亿元, 同比 -7.16%, 净利润 2.36 亿元, 同比 % 图 22: 年东软载波业绩表现 ( 单位 : 百万元 ) 营收 净利润 营收 YOY 净利润 YOY 40% 30% 20% 10% 0% -10% -20% -30% -40% 晓程科技 晓程科技成立于 2000 年, 于 2010 年在深交所创业板上市 公司的专业方向为集成电路设计, 同时为智能电网 智慧城市提供产品和解决方案, 自设立以来始终致力于电力线载波芯片及相关集成电路产品的研发 销售, 并面向电力行业用户提供完整解决方案和技术服务 年公司营收 CAGR=-17.04%,2017 年公司营收 1.38 亿元, 同比 %, 净利润 亿元, 同比 -1116% 图 23: 年晓程科技业绩表现 ( 单位 : 百万元 ) 请务必阅读正文之后的信息披露和免责申明 22

23 营收 净利润 营收 YOY 净利润 YOY 200% 0% -200% -400% -600% -800% -1000% -1200% 韦尔股份 韦尔股份成立于 2007 年, 于 2017 年在上交所主板上市 公司主营产品包括保护器件 (TVS TSS) 功率器件 (MOSFET Schottky Diode Transistor) 电源管理器件 (Charger LDO Buck Boost Backlight LED Driver Flash LED Driver) 模拟开关等四条产品线,700 多个产品型号, 产品在手机 电脑 电视 通讯 安防 车载 穿戴 医疗等领域得到广泛应用, 公司业绩连续多年保持稳定增长 年公司营收 CAGR=20.49%, 净利润 CAGR=-0.78%,2017 年公司营收 24 亿元, 同比 %, 净利润 1.23 亿元, 同比 -6.11% 图 24: 年韦尔股份业绩表现 ( 单位 : 百万元 ) , , , , , 营收 净利润 营收 YOY 净利润 YOY 60% 50% 40% 30% 20% 10% 0% -10% -20% -30% 2.2. 中国设计公司成长的几点启示 依托国内电子整机厂商优势, 发展供应链上游芯片设计 中国电子产业在全球的地位已经得到快速的提升, 进入主流产品如苹果供应链的企业数量不断增多 现在已经形成了一个非常齐备的终端电子产品的生态, 并且从出口导向型市场转变为消费型主导市场, 下游终端产品对上游半导体行业供应链本地化需求强烈 以智能手机为例, 过去几年间, 中国智能手机厂商全球市占率不断提升, 从 2011 年的 10% 左右达 请务必阅读正文之后的信息披露和免责申明 23

24 到 2015 年约 35% 左右, 预计 19 年将达到近 50% 的份额, 带动智能手机供应链的本土化 图 25: 中国智能手机品牌全球市占率不断提升 ( 单位 : 百万部 ) F 2019F 国产手机出货量全球手机出货量国产手机占比 50% 40% 30% 20% 10% 0% 资料来源 :IDC,Gartner, 天风证券研究所 据市场研究公司 Counterpoint Research 发布第三季度全球智能机片上系统 (SoC) 市场统计报告, 按照收入计算,17Q3 华为海思和紫光展锐手机芯片 ( 主要是应用处理器芯片 ) 市占率分别为 8% 5%, 跻身全球第 5 6 名 图 26: 智能手机 SOC 芯片市场划分 (%) 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% 41% 42% 21% 20% 18% 14% 11% 8% 8% 6% 5% 5% 1% 0% 高通苹果联发科三星海思展讯其他 16Q3 17Q3 资料来源 :Counterpoint, 天风证券研究所 华为海思 伴随着华为手机登顶世界前三, 其搭载的华为海思芯片快速发展 2017 年华为海思营收 亿美元, 同比增长 21% 海思的成功得益于大量的研发投入以及与华为手机的生态结合, 一方面,2017 年华为研发费用高达 897 亿元, 大大超过苹果和高通, 过去十年间, 华为投入的研发费用高达 3940 亿元, 居于世界科技公司前列 ; 另一方面, 华为旗舰机一直使用自己的海思芯片, 保证了海思芯片的出货量, 同时自研芯片又保证了旗舰手机的竞争力 紫光展锐 请务必阅读正文之后的信息披露和免责申明 24

25 展锐由展讯和锐迪科合并而来, 展讯在 TD-SCDMA 基带芯片技术领先, 锐迪科则在射频 IC 上有优势 从营业规模来看, 紫光展锐 2017 年实现营收 20.5 亿美元, 目前位居全球 Fabless 第十名 从出货量来看,2017 年紫光展锐全年营收已经超过 100 亿, 占全球手机基带芯片市场份额的 27%, 跻身全球第三 成长为对抗高通 联发科等巨头的 新平衡者 在国际合作方面, 紫光也是走在了同行前列 2014 年世界半导体巨头英特尔斥资 90 亿元 ( 约合 15 亿美元 ), 获得紫光展锐 20% 的股权 此外, 英特尔还向展讯开放了 X86 的底层构架, 使展讯在 ARM 之外又多了一个选择, 同时展讯获得英特尔最先进的代工, 提升芯片性能 除了应用处理器芯片之外, 在触控 IC, 指纹 IC 和 CMOS 图像传感器芯片组等细分市场上, 中国厂商也占据重要地位, 包括 CIS 芯片领域 OmniVision 指纹识别和触控 IC 领域汇顶科技等 汇顶科技是 A 股的上市公司,OmniVision 也有可能会被上市公司韦尔股份收购 图 27:2016 年汇顶指纹识别芯片市占率 (%)( 现已超越 FPC) 图 28:2016 年全球 CIS 芯片市占率 (%) 5, Silead <30, 汇顶 <5, 其他 10, 新思 >50, FPC 夏普, 2 海力士, 2 东芝, 3 Aptina, 3 Galaxycore, 4 On Semi, 4 意法, 2 其他, 7 三星, 15 豪威, 16 索尼, 42 资料来源 :Gartner, 天风证券研究所 资料来源 :Gartner, 天风证券研究所 人工智能芯片 在新兴赛道上实现弯道超车机会 时至今日, 人类精密制造领域 ( 半导体制造是目前为止人类制造领域的最巅峰 ) 遇到硅基极限的挑战, 摩尔定律的放缓似乎预示着底层架构上的芯片性能的再提升已经出现瓶颈, 而数据量的增长却呈现指数型的爆发, 两者之间的不匹配势必会带来技术和产业上的变革升级 图 29: 摩尔定律在放缓 图 30: 全球智能手机每月产生的数据量 (EB)5 年提升了 13X 资料来源 :IFS, 天风证券研究所 资料来源 :Cisco VNL, 天风证券研究所 这其中最为前沿的芯片就是人工智能相关应用芯片的增长 我们整理了人工智能芯片相关的类型和产业链公司, 传统的芯片厂商 / 生态的建立者 / 新进入者 传统的芯片制造厂商 : Intel,Nvidia 和 AMD 他们的优势在于在已有架构上对人工智能的延伸, 对于硬件的理解 请务必阅读正文之后的信息披露和免责申明 25

26 会优于竞争对手, 但也会困顿于架构的囹圄 ;2 上层生态的构建者进入芯片设计, 比如苹果和 Google, 优势在于根据生态灵活开发定制各类 ASIC, 专用性强 ; 新进入者, 某些全新的架构比如神经网络芯片的寒武纪, 因为是全新的市场开拓, 具有后发先至的可能 新进入者的机会, 因为是个全新的架构机会, 将有机会诞生独角兽 而在这个领域里面, 中国的芯片设计公司表现非常抢眼 图 31: 人工智能芯片产业链 资料来源 :Wind, 半导体行业观察, 天风证券研究所 传统通用型芯片 道阻且长, 与海外的合作 & 虚拟 IDM 模式是潜在路径 通用型芯片包括 CPU, 存储器,FPGA, 高端模拟芯片等 通用型芯片设计是一个需要时间积累的过程, 具有研发投入大, 生命周期长, 较难在短期聚集起经济效益等特征, 因此在国内公司层面发展较为缓慢, 甚至有些领域是停滞的 通用型芯片的核心龙头企业都在美国, 很多美国的通用型芯片设计公司都是具有几十年历史的企业, 而国内芯片设计领域起步较晚, 在该领域与海外竞争对手的差距十分巨大 我们以上市公司圣邦股份举例, 圣邦股份是国内做模拟芯片设计的龙头公司, 成立于 2005 年, 经过 10 多年的发展, 目前营收规模在国内属于领先水平, 但根据公司年报, 一年的营收额也仅为 5 亿多元, 市占率 1% 不到, 与海外龙头公司 TI 相差非常大 分析 TI 的发展史可以看到, 在其 40 多年的成长过程中, 形成了强大的垄断和技术护城河壁垒, 而下游客户对其产品的粘性也非常高 在该领域的统治地位几乎无法撼动 因此发展通用型芯片设计的难度是最高的, 美国在此领域深耕了几十年, 具有一大批全世界几乎无法取代的公司, 比如 Intel,TI,Xilinx 等, 在美国以外的其他地区, 比如台湾, 在发展芯片行业的几十年里, 也没有产生能够在通用型芯片领域的替代者 我们认为, 在通用型芯片领域, 选择与行业老二的技术合作是可能的路径之一, 比如国产 CPU 方面, 天津海光和 AMD 合作生产就开始有所成效 同时, 在国内也可以探索虚拟 IDM 的模式, 以制造带动设计 在海外设计和制造之间是泾渭分明的, 而国内受益于自上至下的产业链协同模式, 可以通过制造与设计之间的联系, 以一些 IP 的分享, 带动设计企业的成长 因为通用型芯片研发投入大, 生命周期长, 短期较难聚集起经济效益, 因此政府的补助和扶持必不可少 我们认为, 地方政府在加大对制造业投入的同时, 也需要给予设计企业更多的补助和扶持 以杭州最近出台的集成电路扶持政策为例, 政府对于设计型企业给予了很多流片费用上的补助, 这会有利于鼓励更多人才往通用型芯片设计领域创业 3. 设备与材料 低端制程实现国产替代, 高端制程有待突破 3.1. 从下游判断中国半导体设备自足率低 需求缺口极大 中国成立 集成电路大基金 推进我国 IC 发展, 大基金投资相应带动半导体设备投资增长 2014 年 6 月, 国务院颁发了 国家集成电路产业发展推进纲要, 提出设立国家集成 请务必阅读正文之后的信息披露和免责申明 26

27 图 32: 国家大基金的大力支持 电路产业基金 大基金, 大基金首期实际募集规模 亿, 投资覆盖了继承电路全部产业链, 截至 17 年 9 月, 大基金累计投资 55 各项目, 承诺出资 1003 亿元, 实际出资 653 亿元, 其中芯片制造占比 65% 设计业 17% 封测业 10% 装备材料业 8%, 并且大基金引导地方政府投资, 截至 17 年 6 月, 由 大基金 撬动的地方集成电路产业投资基金 ( 包括筹建中 ) 达 5145 亿元 政策带动 IC 产业链的兴起, 设备厂商景气度必然上升, 据 SEMI 的统计显示,2017 年, 中国大陆占全球半导体设备销售量的 15%, 排在全球第 3 预计到 2019 年, 中国大陆在半导体设备方面的投资将有望上升到全球第 2 的位置 资料来源 :IC insight 天风证券研究所 图 33: 国家大基金的投资进程 资料来源 :IC insight 天风证券研究所 经测算, 在建产线带来具体半导体设备投资额 490 亿美元 半导体设备主要由存量和增量市场拉动, 目前中国新建产线投资是主要的新增半导体设备市场 存量市场主要以中芯国 请务必阅读正文之后的信息披露和免责申明 27

28 际, 华力微等国内现有产线的资本支出为主, 增量来自于已经公布的国内计划新建的晶圆厂, 年中国大陆地区共有 16 条 12 寸在建晶圆线, 投资的晶圆厂以 Foundry( 中芯国际, 华力微, 联电 ) 和 IDM( 长江存储, 合肥睿力, 福建晋华 ) 为主 经验公式, 每 1000 片 12 寸晶圆线的设备投资以 1 亿美金计 折算总的投资金额为 700 亿美金, 具体设备投资额 490 亿美元 3.2. 从营收判断中国半导体设备进口依赖度 国产份额低 规模小 关键设备技术壁垒高, 美日技术领先,CR10 份额接近 80%, 呈现寡头垄断局面 半导体设备处于产业链上游, 贯穿半导体生产的各个环节 按照工艺流程可以分为四大板块 晶圆制造设备 测试设备 封装设备 前端相关设备 其中晶圆制造设备占据了中国市场 70% 的份额 再具体来说, 晶圆制造设备根据制程可以主要分为 8 大类, 其中光刻机 刻蚀机和薄膜沉积设备这三大类设备占据大部分的半导体设备市场 同时设备市场高度集中, 光刻机 CVD 设备 刻蚀机 PVD 设备的产出均集中于少数欧美日本巨头企业手上 图 34: 半导体设备国内外主要参与者 资料来源 :IC Insights, 天风证券研究所 图 35: 关键设备呈现垄断局面 请务必阅读正文之后的信息披露和免责申明 28

29 资料来源 :SEMI, 天风证券研究所 中国半导体设备国产化率低, 本土半导体设备厂商市占率仅占全球份额的 1-2% 17 年全球半导体设备前十二大厂商 ( 按营收排名 ) 中包括三家美国 (Applied Materials LAM Research KLA-Tenor) 六家日本公司 (Tokyo Electron 迪恩仕 日立高新 Hitachi Kokusai 大福 Nikon) 一家荷兰公司 (ASML) 一家韩国公司 (SEMES), 通过分析营收可知 1) 行业景气度持续向上 : 大部分厂商 17 年营收增长两位数以上, 其中韩国的 SEMES17 年同比增长 142%;2) 从地域上来看, 前十二大厂商 10-20% 比重营收来源于中国大陆, 侧面说明中国半导体设备国产化率低, 进口依赖程度高 ; 并且, 据 SEMI 统计, 中国本土半导体设备厂商只占全球份额的 1-2% 表 6: 半导体设备商 17 年营收及中国区营收比例 (%) 国家 主营 17 年营收 ( 亿美元 ) 2017/2016 年增长 (%) 17 年中国大陆营收比重 (%) Applied Materials 美国 沉积 刻蚀 离子注入 % 18.89% Lam Research 美国 刻蚀 沉积 清洗 % 12.77% Tokyo Electron 日本 沉积 刻蚀 匀胶显影 % 12.10% ASML 荷兰 光刻设备 % 11.47% KLA-Tencor( 被 Lam 美国 硅片检测 测量 % 11.84% Research 收购 ) Screen 日本 清洗 % semiconductor solutions 迪恩仕 SEMES 细美事 韩国 % Hitachi 日本 沉积 刻蚀 检测 封 % 13.05% High-technologies 装贴片 Hitachi Kokusai 日本 热处理 % Daifuku 大福 日本 无尘室搬运 % 17.90% ASM International 荷兰 沉积 封装键合设备 % 请务必阅读正文之后的信息披露和免责申明 29

30 Nikon 日本光刻设备 % 19.89% 图 36:2017 中国半导体设备十强 ( 按销售金额排列 ) 国内半导体设备厂商起步晚, 整体规模较小 根据中国电子专用设备工业协会的统计,2016 年我国前十大半导体设备厂商共完成销售 亿元, 与国内设备市场规模相距甚远 2017 年体量最大的中电科和晶盛机电营收在 10 亿左右体量徘徊, 我们估算国内设备销售额总量占世界半导体销售规模仅 2% 左右 资料来源 :EPEA, 天风证券研究所 3.3. 中端设备实现了从 0 到 1 国产化突破, 高端制程 / 产品仍需进行攻克 AMAT 是全球最大的半导体设备公司,2017 年其营业收入 145 亿美元, 主营业务分为三大板块 : 半导体设备, 显示和相关市场和全球应用服务 公司覆盖了前道核心设备, 涵盖 : 外延 /PVD/CMP/ 离子注入 /RTP/ 刻蚀 /CVD/ 溅射 /Epitaxy 等一系列设备 以下我们将通过对比 AMAT 与国内厂商在蚀刻 PVD CVD 离子注入机 清洗设备技术 制程来分析我国国产进度 PVD 是制备薄膜重要方法之一,PVD 设备属于后道金属布局领域, 占整个设备投资 5% 比例 目前,PVD 呈现垄断的全球市场格局, 呈高度垄断状态, 中国国内的 PVD 设备市占率较低, 主要代表厂商是北方华创 中微半导体, 北方华创目前成功开发了 TiN Hardmask PVD Al pad PVD AlN PVD TSV PVD 等一系列磁控溅射 PVD 产品, 实现了在集成电路 先进封装 半导体照明 微机电系统 功率器件等领域的全面产品布局 其中应用于 28nm/12 英寸晶圆生产的 Hardmask PVD 设备已成为中芯国际的基线设备, 应用于 14nm 英寸的 HM PVD 正在进行生产线验证 请务必阅读正文之后的信息披露和免责申明 30

31 CVD 是制备高纯 高性能固体薄膜的主要技术, 根据其工艺条件的不同可以分为 PECVD APCVD/LPCVD ALCVD 和 VPE CVD 主要占整个设备投资的 15% PECVD 目前也是呈现寡头垄断的局面,top3 的厂家占据绝大部分市场份额 (AMAT Tel Lam), 国内设备国产化率较低, 仅有 1) 北方华创的 EPEE i800 PECVD 设备 ;2) 沈阳拓荆自主研发的 PF-300T 12 英寸 PECVD 设备 (40-28nm); APCVD/LPCVD 方面,top4 玩家主要占据全球大部分份额 (ASM LAM TEL Tempress), 国内仅有北方华创 LPCVD 进入 14nm 设备的生产认证当中 ASML 光刻机巨头 : 光刻设备定义了半导体器件是尺寸, 是半导体制造中最核心的设备, 整个光刻成本为硅片制造的 1/3, 价值量占设备总投资比例的 20%, 由于其极高的技术门槛, 光刻机呈现垄断的市场格局, 荷兰 ASML 占据了大部分市场份额,2017 年 ASML 营收 108 亿美元, 净利润 25.3 亿美元, 公司旗下主要有三大块业务 深紫外 DUV 光刻, 包括 ArF 浸没式光刻机 KrF ArF Dry I-line 等, 日本尼康的市占率排名第二, 然而尼康旗下主要是面板光刻机, 佳能只有低端半导体的 i-line 和 Kr-F 光刻机 对应国内来看, 目前只有上海微电子装备掌握了 90nm 技术节点的光刻机技术 整体而言, 在光刻机上, 中国技术水平依然落后, 国产化率极低, 且高端的 EUV 光刻机只能从 ASML 采购 总的来说, 通过后期追赶, 部分设备实现了从 0 到 1 国产化突破, 部分国产设备市占率提升明显 08 年之前我国半导体设备基本全靠进口, 随后我国通过设立了 02 专项 实现了部分设备国产化的道路, 缩小了与国际领先水平的差距 介质刻蚀机方面 : 中微半导体进入 7nm 制程, 成为台积电五大供货商之一 ; 硅刻蚀机方面, 北方华创进入中芯国际 28nm 生产线 ; 沈阳拓荆量产 12 英寸 65nm 的 PECVD 设备等 封装制程工艺设备 : 刻蚀机 PVD 光刻机 清洗机等关键设备已经基本实现国产化, 根据 SEMI 的数据显示, 蚀刻设备国产化率从 10 年 0% 提升到 16 年的 96%, 封装 PVD 设备从 12 年 0% 提升到 16 年的 68% 图 37: 封装领域部分国产设备市占率提升明显 (%) 120% 100% 80% 60% 40% 20% 0% 刻蚀设备国内市占率 封装 PVD 设备国内市占率 资料来源 :SEMI, 天风证券研究所 国产设备已形成初步产业链成套布局, 部分设备实现批量应用, 预计部分设备在短期 1-2 年內可逐步实现订单转移 目国内设备在关键领域实现了产业链成套布局 曝光 Liho 刻蚀 ETCH 薄膜 CVD 湿法 WET 检测 热处理 测试等环节, 且部分工艺制程能够满足国内客户的需求, 目前已有多项产品已经批量出货, 其中主要的厂商有北方华创 中微半导体 睿励科仪和上海盛美半导体等 表 7: 国产设备已形成初步产业链成套布局 工艺设备种类重点企业地区技术节点 (nm) 曝光匀胶机 / 去胶机沈阳芯源沈阳 90/65 请务必阅读正文之后的信息披露和免责申明 31

32 光刻机 上海微电子装备 上海 90 刻蚀 介质刻蚀 上海中微 上海 65/45/28/14/7 硅刻蚀 北方华创 北京 64/45/28/14 上海中微 上海 64/45/28/14/7 薄膜 PVD 北方华创 北京 64/45/28/14 氧化炉 /LVCVD 北方华创 北京 65/28/14 ALD 北方华创 北京 28/14/7 PECVD 沈阳拓荆 沈阳 65/28/14 离子注入 离子注入机 北京中科信 北京 65/45/28 湿法 清洗机 北方华创 北京 65/45/28 CMP 华海清科 / 盛美 /45 所 天津 / 上海 / 北京 28/14 镀铜 / 清洗 上海盛美 上海 28/14 检测 光学检测 (OCD 薄膜) 上海睿励 上海 65/28/14 热处理 退火炉 合金炉 单片 北方华创 北京 65/45/28 退火 测试 测试机 / 分选机 长川 / 华峰 杭州 / 北京 其他 清洗 /CDS Sorter 至纯 / 上海新阳 / 京仪 上海 / 北京 Scrubber 资料来源 : 观研天下, 天风证券研究所 图 38: 步入生产验证的 14nm 国产设备 设备 厂商 工艺 ALD 北方华创 Hi-K insulator ALI PCD 设备 北方华创 AL DEP LPCVD 北方华创 SiO2 Film DEP HM PVD 北方华创 Anneal 单片退火设备 北方华创 HM DEP 硅刻蚀机 北方华创 STI ETCH 介质刻蚀机 中微半导体 AIO/PASS ETCH 光学尺寸测量设备 睿励科学仪器 Film Thickness/OCD 清洗机 上海盛美 Wafer recycle 资料来源 :IC China 2017 天风证券研究所 关键设备在先进制程上仍未实现突破 目前世界集成电路设备研发水平处于 12 英寸 7nm, s 生产水平则已经达到 12 英寸 14nm; 而中国设备研发水平还处于 12 英寸 14nm, 生产水平为 12 英寸 65-28nm, 总的来看国产设备在先进制程上与国内先进水平有 2-6 年时间差 ; 具体来看 65/55/40/28nm 光刻机 40/28nm 的化学机械抛光机国产化率依然为 0,28nm 化学气相沉积设备 快速退火设备 国产化率很低 图 39: 国产设备在先进制程上与国内先进水平有 2-6 年差距 请务必阅读正文之后的信息披露和免责申明 32

33 s 资料来源 : 北方华创 Semicon 天风证券研究所 图 40: 不同制程制程半导体设备国产化率 (%) % 65/55nm 40nm 28nm 资料来源 :IC Insights, 天风证券研究所 3.4. 相关上市公司介绍 硅片制造设备 : 晶盛机电 昔日光伏装备龙头, 如今半导体设备新贵 (1) 国内晶体硅生长设备龙头, 全年净利润有望翻倍 公司是国内技术先进的光伏及半导体晶体硅生长设备供应商, 主要产品有单晶生长炉 多晶硅铸锭炉 蓝宝石晶体炉 区熔硅单晶炉 单晶硅滚圆机 单晶硅截断机等 2013 年开始, 光伏行业筑底回升, 公司凭借在单晶炉领域的先发优势和技术壁垒, 开启新一轮增长 年, 公司营业收入和净利润复合增长率分别高达 84% 和 68% 17 年继续保持高增长态势, 营业总收入 亿元, 同比增长 78.55%, 归母净利润 3.87 亿元, 同比增长 89.76% 公司下游客户涵盖了除隆基以外的所有硅片厂家, 包括中环 晶科 晶澳 保利协鑫等 图 41: 公司营收及增速 ( 亿元,%) 图 42: 公司归母净利润及同比增速 ( 亿元,%) 请务必阅读正文之后的信息披露和免责申明 33

34 % 150% 100% 50% 0% -50% -100% % 150% 100% 50% 0% -50% -100% 营业总收入 ( 亿元 ) 同比 (%) 归母净利润 ( 亿元 ) 同比 (%) 资料来源 :wind 天风证券研究所 资料来源 :wind 天风证券研究所 图 43: 公司毛利率及净利率波动 (%) 60% 50% 40% 30% 20% 10% 52.40% 54.70% 49.77% 39.65% 34.55% 24.55% 44.58% 38.35% 39.28% 38.87% 26.22% 19.07% 19.13% 16.86% 0% 销售毛利率 (%) 销售净利率 (%) 资料来源 :wind 天风证券研究所 (2) 半导体巨头纷纷抢滩中国, 带来设备最确定性投资机会 半导体行业持续复苏, 设备需求大增 目前国内硅片供不应求, 根据 SEMI 数据预计, 未来 年间投产的 62 座晶圆厂中 26 座设于大陆 公司目前已掌握 12 英寸集成电路用硅片晶体生长技术, 并成功销往台湾 ; 同时 6-8 英寸的设备也被多家国内半导体企业采购 2017 年 10 月, 公司与无锡市政府 天津中环半导体签署合作协议, 将共同在宜兴市启动建设 集成电路大硅片项目, 公司在半导体级单晶硅领域大踏步前进 图 44: 公司半导体领域主要产品 请务必阅读正文之后的信息披露和免责申明 34

35 资料来源 : 公司官网 天风证券研究所 (3)LED 行业景气度提高,300kg 级蓝宝石产能释放在即 公司是全球少数掌握 300 公斤级大尺寸泡生法蓝宝石晶体生长技术的企业之一, 量产后预计比 150kg 级毛利提高 20% 以上 LED 行业持续景气, 我们预计 2020 年全球 95% 以上的 LED 生产将采用蓝宝石衬底 (4) 光伏行业迎来新一轮投资热潮, 设备龙头深度受益 按照国家 十三五 规划, 年光伏累计新增建设项目将达到 86.5GW, 如果考虑分布式发电 村级扶贫电站以及跨省跨区输电通道配套建设的光伏电站,2020 年我国装机容量有望超过 200GW 由于单晶硅成本持续下降, 我们认为未来渗透率有望从目前的 30% 进一步提升至 50% 以上 而从中期来看, 技术进步和竞价上网的机制将会推动成本进一步降低, 用户端和发电端的平价上网有望在 2020 年前后到来, 进一步打开光伏设备的市场空间 请务必阅读正文之后的信息披露和免责申明 35

36 核心制程设备 : 北方华创 A 股半导体制造设备龙头 1) 战略重组完成, 新起点新征程 公司由七星电子和北方微电子战略重组而成, 主要产品包括高端电子工艺装备和精密电子器件, 构建了半导体装备 真空装备 新能源锂电装备和精密电子元器件四大产业平台, 重组后, 公司战略规划清晰, 定位准确精准发力 伴随中国大陆地区 2017 年下半年 的半导体产线订单逐步释放, 我们预计公司将在明年上半年出现订单高增长态势, 有力支撑全年业绩 图 45: 年营收净利润情况 ( 万元,%) 图 46: 各版块营收情况 ( 百万元 ) 250, , , , , 营业总收入 归属母净利润 同比 (%) 同比 (%) (2) 晶圆厂建设浪潮叠加国产装备进口替代机遇, 龙头业绩有望高增长 半导体行业持续高景气度, 设备需求大增 目前国内硅片供不应求, 预计将于 年间投产的 62 座晶圆厂中 26 座设于大陆 公司作为国产高端半导体设备龙头, 产品覆盖除光刻机以外的大部分半导体生产前端设备, 伴随下游扩产, 公司产品迎来国产替代机遇 加之公司为国有控股, 大基金持股, 平台优势显著, 未来营收和盈利收入有望实现高增长 (3) 国产半导体设备龙头, 产品技术率先挺进 14nm 时代 公司为国产半导体设备龙头, 研发能力全国领先, 订单持续验证 公司目前已完成 ETCH PVD 清洗机 氧化炉 LOCVD( 低气压化学气相沉积 ) ALD( 原子层沉积 ) 和气体质量控制器 (MFC) 等多个项目, 产品种类和覆盖领域在行业内遥遥领先 伴随 28mm 设备陆续实现商用化, 公司自主研发应用于 14mm 制程的等离子刻蚀机, 单片退火设备也正式进入主流集成电路代工厂并获得订单 此外, 公司积极推进关键技术同心多元化发展, 将半导体设备的关键技术拓展至光伏 半导体照明 平板显示等领域, 目前看来效果显著 通过发展同心多元, 公司产品结构得到完善, 收入来源更加丰富, 增厚业绩可以期待 图 47: 北方华创半导体设备产品线 请务必阅读正文之后的信息披露和免责申明 36

37 资料来源 : 公司公告, 天风证券研究所 (4) 外延并购仍有预期, 发展前景值得期待 作为行业龙头, 通过外延收购做大规模, 公司 2017 年 8 月公告, 收购美国 Akrion Systems LLC 公司 ( 以下简称 Akrion 公司, 转让方 ) 的资产 负债及相关业务, 交易价格为 1500 万美元, 约 10,350 万人民币 ( 汇率以 6.90 测算 ) Akrion Systems LLC 公司在精密清洗技术方面拥有多年的技术积累和客户基础 这次收购将拓展公司在清洗机设备领域的产销体系, 丰富公司清洗机设备的产品线, 这将和公司目前清洗设备品种实现较好互补, 进一步增强了服务客户的能力, 并提高公司产品的市场占有率及竞争能力 这次并购, 既是一次产品线的补足, 也是一次跨国并购的试水 有助于公司实现销售规模 市场份额和行业影响力的提升 (5) 人才创研发能力雄厚, 行业龙头基因足 半导体设备的核心在于研发, 人才又是研发的基础 保证核心研发团队的稳定 逐年加大研发投入是企业长期稳定发展的基础, 北方华创储备了半导体设备各领域专家, 保障了公司持续不断的研发能力 研发费用不断投入虽然短期内损害了公司的净利率, 但是对于公司的长期发展是必不可少的投入 虽然从研发费用体量上来看, 北方华创跟其他两家龙头公司相差甚远, 但是从研发占收入比重上来看, 北方华创一直保持在一个比较高的水平 表 8: 北方华创千人计划人员名单 专家名单 丁培军 王厚工 刘韶华 研发方向 千人计划 PVD 千人计划 PVD 千人计划刻蚀 黄亚辉千人计划刻蚀 郑波 吴军 王文凌 吴仪 史小平 吴雷 资料来源 : 公司官网, 天风证券研究所 千人计划 CVD 千人计划 CVD 千人计划氧化炉 千人计划清洗机 千人计划工艺技术 千人计划集成电路 请务必阅读正文之后的信息披露和免责申明 37

38 图 48: 各设备公司研发费用对比 ( 亿元,%) % 40.00% 30.00% 20.00% 10.00% 0.00% 北方华创研发费用 AMAT 研发费用 LAM 研发占收入比重 LAM 研发费用 北方华创研发占收入比重 AMAT 研发占收入比重 后端设备 : 长川科技 以客户为中心融合高研发投入, 政策助推下实现稳健成长 (1) 半导体后端设备优秀标的, 附加值与技术含量双高 长川科技成立于 2008 年 4 月, 于 2017 年 4 月 17 日在深交所上市, 总部位于浙江杭州 公司为国内半导体设备行业中的优质标的, 具备完全自主研发 生产集成电路测试设备的能力, 下游主要客户为集成电路封装测试企业 晶圆制造企业 芯片设计企业, 主要产品包括集成电路后端生产过程所需测试机 分选机和探针台等, 其中明星产品为测试机和分选机, 占据公司大部分营收份额 市场竞争日趋激烈, 营收与扣非净利润稳健增长 公司业绩在 2012 至 2014 年业绩增长较快, 主要系公司正处于成长阶段 增长空间较大所致 2015 年至 2016 年营收与扣非净利润开始稳健增长, 主要原因有二 : 一是公司营收规模过亿, 已具备了一定的体量, 开始进入稳健增长期, 二是我国半导体后端测试设备的产品总体趋于成熟, 竞争日趋激烈所致 高技术含量 + 高附加值, 产品升级助推毛利上行 公司所处行业具有较高技术壁垒, 产品研发 生产进入门槛高, 具有较强的议价能力 产品附加核心软件, 进一步提升产品附加值 : 测试机软件主要包含 FPGA 程序 底层驱动软件 测试系统软件 用户程序, 分选机软件主要包含分选控制软件 设备运行分析软件 硬件控制软件 高技术含量 + 高附加值保证了公司的高毛利率水平 图 49: 公司营收及同比增长 ( 亿元,%) 图 50: 公司毛利率和净利率 (%) % % % % 营业总收入 ( 亿元 ) 营业利润 ( 亿元 ) 70% 60% 50% 40% 30% 20% 10% 0% 66.28% 57.56% 62.40%62.64% 59.67% 57.10% 31.00% 23.86% 33.36% 27.95% 22.86% 24.53% 同比 (%) 销售毛利率 (%) 销售净利率 (%) (2) 产品升级为销量核心驱动器, 高研发投入把握国产替代机遇 请务必阅读正文之后的信息披露和免责申明 38

39 图 51: 分产品毛利率水平 (%) 公司自 2013 年以来将产品升级作为公司发展的灯塔, 持续推出新产品并进行产品升级, 不断打破行业竞争加强对企业毛利带来的局限 2013 年公司推出两款产品 :(1) 升级产品 8 工位模拟 / 数模混合测试机 CTA8280, 产品销量持续提升 (2) 新产品平移式分选机 ;2015 年公司增加了 CTA8280 产品的功能模块, 产品的单价和毛利率都随之上升,2016 年功能模块有所减少, 同时因原材料价格下降, 单价和毛利率相应下降 虽然毛利有所波动, 但公司产品销售决策是根据客户需求来进行配置的, 毛利还受到下游企业投资决策的影响, 配置越高, 则价格越高 但产品升级对销量起到了很大的推动的作用,2013 年以来公司核心产品一直呈现出持续型的增长 图 52: 公司产品与国外领先产品对比 加大研发投入打破海外技术壁垒, 低价策略凸显进口替代红利 公司的产品销量稳健上行离不开长期的高研发投入,2014 年至今, 公司的研发投入持续提高,2016 年达到营收总额的 20.11% 截至 2016 年底, 公司在测试机 分选机两大核心产品领域已取得 57 项专利权,29 项软件著作权, 打破了被国外垄断的技术壁垒 由于地域上的优势, 公司产品价格相对进口设备有较大空间, 随着公司技术 成本控制水平的持续提升, 叠加国内后端测试设备市场的不断成熟, 相信公司将在不久实现进口替代与海外出口, 激发国内外市场需求 资料来源 : 公司官网, 天风证券研究所 请务必阅读正文之后的信息披露和免责申明 39

40 图 53: 半导体材料细分占比 (%) 3.5. 材料 细分领域已经实现弯道超车, 核心领域仍未实现突破 半导体材料主要分为晶圆制造材料和封装材料两大块 晶圆制造材料中, 硅片机硅基材料最高占比 31%, 其次依次为光掩模版 14% 光刻胶 5% 及其光刻胶配套试剂 7% 封装材料中, 封装基板占比最高, 为 40%, 其次依次为引线框架 16%, 陶瓷基板 11%, 键合线 15% 资料来源 :SEMI 天风证券研究所 16 年半导体材料市场规模 443 亿美金, 中国市场规模占比超过 20% 根据 SEMI 数据,2016 年半导体材料市场为 443 亿美元, 其中晶圆制造材料市场为 247 亿美元 封装材料市场为 196 亿美元, 同比增长 3.1% 1.4% 国内半导体半导体材料市场 2016 年总规模达 651 亿人民币, 在占全球半导体材料市场规模比重超过 20% 日美德再全球半导体材料供应上占主导地位 各细分领域主要玩家有 : 硅片 Shin-Etsu Sumco, 光刻胶 TOK Shipley, 电子气体 Air Liquid Praxair,CMP DOW 3M, 引线架构 住友金属, 键合线 田中贵金属 封装基板 松下电工, 塑封料 住友电木 表 9: 全球晶圆制造材料主要供应商 国际中国中国市场占比 硅片光刻胶电子气体 CMP Shin-Etsu( 日 ) TOK( 日 ) Air Liquid( 法 ) DOW( 美 ) Sumco( 日 ) Shipley( 美 ) Praxair( 美 ) 3M( 美 ) Siltronic( 德 ) JSR( 日 ) Linde( 德 ) Cabot( 美 ) Sunedison( 美 ) DOW( 美 ) Air Product( 美 ) 日本东丽 SAS( 台 ) Shin-Etsu( 日 ) Matheson( 德 ) 有研新材佛山华特宁波金瑞泓北京科华中船重工 718 研上海安集上海合晶 / 晶盟苏州瑞红究所上海新安纳上海新傲南大光电上海新昇 5% 8% 10% 9% 资料来源 :SEMI, 天风证券研究所 请务必阅读正文之后的信息披露和免责申明 40

41 表 10: 全球封装材料主要供应商 国际中国中国市场占比 引线框架键合线封装基板塑封料住友金属 ( 日 ) 住友电木 ( 日 ) 田中贵金属 ( 日 ) 松下电工 ( 日 ) 三井高科 ( 日 ) 日立化成 ( 日 ) 贺利氏 ( 德 ) 住友电气 ( 日 ) 日立电线 ( 日 ) 汉高华威 ( 德 ) 住友电气 ( 日 ) 日立化成 ( 日 ) 新光电气 ( 德 ) 松下电工 ( 日 ) 宁波康强北京达博深南电路宁波华龙江苏中鹏宁波康强珠海越亚铜陵三佳 36% 32.4% 13% 33% 资料来源 :SEMI, 天风证券研究所 上市公司在部分细分领域上比肩国际领先, 高端领域仍未实现突破 通过对比国内外供应商, 我们可将半导体材料分为三大类, 第一大类是我国技术已经比肩国际先进水平的 实现大批量供货 可以立刻实现国产化的产品 : 靶材 封装基板 CMP 部分封装材料, 第二大类是技术比肩国际 但仍未大批量供货的产品 : 硅片 电子气体 掩模板等, 第三类是技术仍未实现突破, 仍需要较长时间实现国产替代的产品 : 光刻胶 通过对比国内外供应商, 我们可将半导体材料分为三大类, 第一大类是我国技术已经比肩国际先进水平的 实现大批量供货 可以立刻实现国产化的产品 : 靶材 封装基板 CMP 等, 第二大类是技术比肩国际 但仍未大批量供货的产品 : 硅片 电子气体 掩模板等, 第三类是技术仍未实现突破, 仍需要较长时间实现国产替代的产品 : 光刻胶 已经实现国产化的半导体材料典例 靶材 : 简单来说靶材是高速核能粒子轰击的目标材料, 是溅射工艺中必备的重要原材料, 按照化学成分, 可以分为金属 合金及陶瓷靶材 半导体领域中主要使用超高纯度的铝 钛 铜 钽等, 对于金属纯度 精度 集成度 技术要求最高,8 寸晶圆通常使用铝 钛等材料的靶材,12 寸则多使用铜 钽靶材 从市场规模来看, 半导体靶材占半导体材料市场规模的 3%, 据 SEMI 数据测算, 半导体靶材全球市场空间约为 12.5 亿美元, 国内市场空间约为 11 亿人民币 从市场格局来看, 人才 + 资金 + 技术 + 客户认证壁垒导致靶材市场呈现垄断格局, 以霍尼韦尔 ( 美国 ) 日矿金属 ( 日本 ) 东曹 ( 日本 ) 等为代表的溅射靶材生产商占据全球绝大部分市场份额 从国内来看, 通过政策的扶持 (863 计划 02 专项 ), 国内高纯溅射靶材企业早实现 0 的突破, 掌握先进技术, 成为国际市场上不可忽视的力量 江粉电子 有研新财 安泰科技等 江丰电子是半导体芯片用溅射靶材国际先进厂商, 拥有国际主流客户 公司成立于 2005 年, 专主要产品有铝靶 钛靶 钽靶 钨钛靶 LCD 用碳纤维支撑等, 铝 钛 钽三种靶材的销售在 16 年分别占比 24% 17% 27% 公司拥有完整的自主知识产权体系, 已在 28-14nm 技术节点上实现量产 目前, 公司已经进入主流供应体系, 旗下客户有 联华电子 台积电 中芯国际 京东方 格罗方德 索尼 海力士 意法半导体等 ; 并且公司学习国外综合厂商, 通过垂直整合产业链 建设年产 300 吨电子级超高纯铝生产项目, 掌握对原材料金属的控制, 进一步提高毛利率 技术比肩国际 但仍未大批量供货的产品 硅片 : 技术部分比肩国际水平, 但在大尺寸硅片生产及量产上与国外仍有差距 硅片是集成电路的载体, 硅片按照尺寸可分为 英寸等, 不同尺寸, 对设备和工艺的要求不同 从市场结构来说, 硅片占据了原材料 35% 比例 ; 从全球格局来看, 硅材料市场呈现垄断态势, 根据 IC insight 数据,16 年全球前五大半导体硅片份额高达 92%( 信越化工 27% SUMCO 26% 环球晶圆 17% Sitronic 请务必阅读正文之后的信息披露和免责申明 41

42 13% LG 9%), 从产品规格来看, 国际领先厂商掌握 12 英寸硅片生产技术 信越化工能实现 300nm SOI 硅片的产品和,Sumco 能提供 300nm 的高纯度抛光硅片 退火晶片和外延片,200nm 的 SOI 硅片 国内来看, 大陆企业主要生产低端的 6 英寸的硅片, 在高端领域, 部分产品尺寸已经取得了可喜的进展, 我们根据公司各大官网发现, 上海新昇半导体 ( 上海新阳入股 ) 在 12 英寸抛光硅片上已经于 2017 年底量产, 上海新傲 8 英寸 SOI 硅片已经顺利销售 技术仍未实现突破, 仍需要较长时间实现国产替代的产品 光刻胶 : 光刻胶是由感光树脂 增感剂和溶剂主要成分组成的对光敏感的液体, 在光照 / 辐射下, 溶解度变化得到所需的图像, 半导体光刻胶领域, 光刻胶主要品种由 g 线 i 线 KrE ArF 掩模版光刻胶等 从成本角度来看, 光刻工艺是成本最高的工艺流程, 也是半导体领域技术门槛最高的细分领域 目前, 全球半导体光刻胶大部分市场份额在日本住友 TOK 美国陶氏手中 国内厂商于与国外差距仍大, 磺化橡胶类光刻胶已经基本完成国产替代,g/i 线光胶 (436/365nm) 自给率较低, 细分厂商有北京科华 苏州瑞红, 高端的 KrF/ArF 光刻胶 (248/193nm) 则几乎全部进口, 国内科华微电子 KrF(248nm) 光刻胶已经通过中芯国际认证, 其他处于研发阶段 (ArF(193nm) 光刻胶已经立项 ) 表 11: 半导体材料 A 股上市公司一览 细分领域 公司 市值 ( 亿元 ) 2017 年营收 ( 亿元 ) 硅片 上海新阳 中环股份 晶盛机电 靶材 江丰电子 阿石创 隆华节能 有研新材 封装基板 深南电路 兴森科技 丹邦科技 湿电子化学品 江化微 晶瑞股份 光华科技 巨化股份 电子气体 南大光电 中环装备 雅克科技 CMP 抛光材料 鼎龙股份 光刻胶 飞凯材料 容大感光 永太科技 强力新材 加速高端产品 / 制程国产化 政策资金助力 + 寻求海外合作 / 并购 + 产业链整合 半导体的基础核心材料国产化具有必要性和紧迫性, 中国在此板块起步较晚, 需要通过一系列政策和资金的扶持来推动国产化 可行模式之一 : 前期政策扶持助力半导体材料实现 0 的突破 国家制定了 863 计划 02 请务必阅读正文之后的信息披露和免责申明 42

43 石英件塑料件电源镀膜件过滤器控制器电路板硅件泵陶瓷件金属件马达驱动器密封圈流量计石墨件夹持环静电极盘其他 行业报告 行业深度研究 转向来加速国产化进度 在硅片领域 靶材 封装基板 湿电子化学品 电子气体 CMP 光刻胶都发布了指导 目录 纲要来推进先进产品 工艺的研发和制造, 从下图可知通过前期的政策扶持, 材料和零部件国产化进展喜人, 部分实现 50% 国产化率, 但大多数产品仍未实现突破, 仍需努力 图 54: 材料国产化率 2017vs2011(%) 图 55: 零部件国产化率 2017vs2011(%) 70% 60% 50% 40% 30% 20% 10% 0% 60% 50% 40% 30% 20% 10% 0% 资料来源 :SEMI, 天风证券研究所 资料来源 :SEMI, 天风证券研究所 可行模式之二 : 后期积极寻求海外并购, 补足技术, 缩短大尺寸硅片国产化进程 上海硅产业投资成立于 2015 年 11 月, 注册资本 20 亿元, 出资方包括国家集成电路产业投资基金, 2015 年, 上海新傲同法国 Soitec 公司合作, 已成功制备出基于 Smart Cut 注氢层转移技术的 8 英寸 SOI 硅片, 预计不久将突破 12 英寸制备技术 2016 年 3 月, 上海硅产业集团公司计划收购 Soitec14.5% 股份, 布局 SOI 硅片市场, 缩短大尺寸硅片国产化进程 4. 封测 最先能实现国产自主可控的领域 4.1. 上市公司都是国内龙头, 跻身全球前十 我们认为当前大陆地区半导体产业在封测行业影响力为最强, 市场占有率十分优秀, 龙头企业长电科技 / 通富微电 / 华天科技 / 晶方科技市场规模不断提升, 对比台湾地区公司, 大陆封测行业整体增长潜力已不落下风, 台湾地区知名 IC 设计公司联发科 联咏 瑞昱等企业已经将本地封测订单逐步转向大陆同业公司 封测行业呈现出台湾地区 美国 大陆地区三足鼎立之态, 其中长电科技 / 通富微电 / 华天科技已通过资本并购运作, 市场占有率跻身全球前十 ( 长电科技市场规模位列全球第三 ), 先进封装技术水平和海外龙头企业基本同步,BGA WLP SiP 等先进封装技术均能顺利量产 图 56:2017 年主要封测企业营收净利润 ( 亿元 ) 请务必阅读正文之后的信息披露和免责申明 43

44 市值 ( 亿元 ) 2017 年销售收入 ( 亿元 ) 2017 年净利润 ( 亿元 ) 封测行业我国大陆企业整体实力不俗, 在世界拥有较强竞争力, 美国主要的竞争对手为 Amkor 公司, 在华业务营收占比约为 18%, 封测行业美国市场份额一般, 前十大封测厂商中, 仅有 Amkor 公司一家, 应该说贸易战对封测整体行业影响较小, 从短中长期而言, Amkor 公司业务取代的可能性较高 表 12: 世界半导体封测十强 ( 亿美元 ) 2018 年 Q1 营收 ( 美元 ) 总部 日月光 12 亿台湾地区 安靠 (Amkor) 10 亿美国 长电科技 8.2 亿中国大陆 矽品 6 亿台湾地区 力成科技 5.1 亿台湾地区 天水华天科技 2.8 亿中国大陆 通富微电 2.4 亿中国大陆 联合科技 2 亿新加坡 京元电子 1.45 亿台湾地区 南茂科技 1.26 亿台湾地区 根据 Trendforce 数据显示,2017 年全球封测业中日月光占比 19.2% 矽品 9.9% 若二者合并将诞生市占率为 29.1% 的封测行业巨无霸企业, 前五大封测厂市占率也将达到 66.90%, 相比 2014 年的 52.38%, 提升了 14.5 个百分点 图 57:2017 TOP10 封测企业营收排名 ( 亿美元 ) 图 58: 年封测行业集中度 (%) 资料来源 :IC Insights,Trendforce, 天风证券研究所 资料来源 :IC Insights,Trendforce, 天风证券研究所 4.2. 封测企业进入壁垒低, 上市公司未来几年通过规模效应成为全球龙头 请务必阅读正文之后的信息披露和免责申明 44

45 我们根据研究分析封测行业和晶圆代工近十年的发展历程, 发现代工业增速明显要优于封测产业, 主要原因有两点,1 从技术角度而论, 代工业受到摩尔定律技术驱动, 通过不断投资新生产线, 重塑产业模式而确定行业增长轨迹, 而摩尔定律对封测行业的推动进程并不显著 2 生产格局两者又有所区别,Fabless 模式下客户委托外部代工是必然选择, 但封装检测过程并不一定需要代理加工, 这决定了封测行业也没有跟随上游产业链呈现同比例增长 我们得出结论 : 封测业更多通过规模和资源的推动市占率的提升, 技术并不是绝对壁垒, 后来参与者有机会分享蛋糕 图 59: 晶圆代工 (Foundry)VS 封测 (OSAT) 资料来源 :SIA/WSTS, 天风证券研究所 封测行业技术迭代路线并不显著, 利于国内企业追赶 从下图我们可以清晰的发现, 先进的制造技术遵循摩尔定律每 18 个月进阶一次, 线宽线性每 18 个月缩小一倍, 但与此同时封装的连接技术, 在线宽不断缩小的情况下, 整体只经历了几代技术的变革 图 60: 封测行业技术演变 资料来源 :SIA, 天风证券研究所 技术上的局限本质上决定了封测企业的 R&D 不高, 因此相对来说封测 R&D 占比不高也会决定进入壁垒不高, 但国内企业因为处于追赶期, 技术研发投入占比要高于海外平均水平 请务必阅读正文之后的信息披露和免责申明 45

46 Capex 绝对量上来看, 中国大陆地区封测企业逐步上升, 海外巨头相对保持稳定值, 国内企业每年支出的 Capex 在营收占比更高 Capex 是企业成长能力的前期指标, 对于当下利润的侵蚀 VS 对于未来成长的预期, 是一柄双刃剑 相比而言, 中国企业显然更为激进, 过高的资本性支出会给财务报表带来一定的压力 图 61: 各大公司资本性支出 ( 十亿元 ) 我们观察主要封测龙头企业毛利率可知, 封测行业毛利率均值在 20% 上下波动, 对比晶圆代工厂的毛利差距, 整体方差波动较小, 技术的进一步演进无法显著提升其毛利率水平, 从历史上看, 封测行业发展大致分为几个阶段 : : 整体行业处在蓝海期, 毛利率保持高点 : 经济危机带来半导体周期的下滑,2010 年探底回升 : 毛利率有逐步改善, 逐渐上行 今行业竞争格局愈发激烈, 巨头企业日月光矽品通过规模效应, 还能保持稳定毛利率, 大陆封测企业开始崛起 图 62: 主要企业的毛利率分布 (%) 30% 25% 20% 15% 10% 5% 0% 日月光安靠矽品长电科技 星科金朋华天电子通富微电 伴随着中国大陆承接封测产业转移的雁行模式不断深化, 国际 IDM 巨头逐渐将封测业务外 请务必阅读正文之后的信息披露和免责申明 46

47 包, 美国公司在封测行业优势并不明显, 但我们同时要认清封测行业位于半导体产业链末端, 其附加价值较低, 劳动密集度高, 进入技术壁垒较低, 封测龙头日月光每年的研发费用占收入比例约为 4% 左右, 远低于半导体 IC 设计 设备和制造的世界龙头公司 随着晶圆代工厂台积电向下游封测行业扩张, 也会对传统封测企业会构成较大的威胁 我们认为全球封测 (OSAT) 产业因为竞争的加剧, 市场波动会更加不稳定, 大陆公司正在持续加速整合, 借此强化营运效果和提高技术密集度, 中长期而言, 贸易战封锁对大陆公司的负面影响相对较小 长电科技在收购星科金朋后, 已经可以供应晶圆级封装 (WLP) 多晶片封装 (MCP) 系统级封装 (SiP) 与 TSV 产品, 而通富微电也通过收购原 AMD 旗下封测厂区, 产业升级转型为面对高端封测需求的 OSAT 厂家 我们认为 年以后, 大陆地区封测 (OSAT) 业者将维持快速成长, 目前长电科技 / 通富微电已经能够提供高阶 高毛利产品, 未来的 3-5 年内, 大陆地区的封测企业 CAGR 增长率将持续超越全球同业 图 63: 大陆地区平均增长率与全球比较 (%) 中国大陆的封装企业在完成重要的 M&A 之后 ( 长电科技收购星科金鹏, 华天科技收购 FCI, 通富微电收购 AMD 封装厂 ) 除了技术上的引进, 还借助收购市场影响力赢得更多 Tier1 的客户 图 64: 大陆封测企业客户 请务必阅读正文之后的信息披露和免责申明 47

48 封装行业不是一个单纯 2000 亿人民币的存量市场, 而仍然是一个处于不断增长中的增量市场 增量主要来自于先进封装的贡献 观察全球先进封装市场的演进, 在 2016 年先进封装比例占封装市场总量的比例约为 32% 目前, 封测厂在高端封装技术 (Flip Chip Bumping 等 ) 及先进封装 (Fan-In Fan-Out 2.5D IC SiP 等 ) 的产能持续增长, 包含长电科技 天水华天 通富微电等厂商 2017 年的年营收保持双位数增长, 表现优于全球 IC 封测产业水平 我们认为国内长电科技 / 通富微电 / 华天科技的先进封装已经处于行业平均水准之上 图 65: 大陆封装企业先进封装技术 4.3. 长电科技 国内领先的封测企业 公司介绍 长电科技成立于 1972 年, 2003 年在上交所主板成功上市 历经四十余年发展, 长电科技已成为全球知名的集成电路封装测试企业 长电科技具有广泛的技术积累和产品解决方 请务必阅读正文之后的信息披露和免责申明 48

49 案, 包括有自主知识产权的 Fan-out ewlb WLCSP Bump PoP fcbga SiP PA 封装等领先技术 长电科技于 2015 年收购星科金朋借助产业基金和中芯国际杠杆, 并在 2016 年通过定增将上述两家战略投资者上翻到上市公司股权,2017 年长电科技再次进行定增融资, 集成电路产业基金将成为公司第一大股东 分散的股权结构有利于解决代理问题, 国家产业基金的参与有利于企业战略目标的实现 以台积电为例, 第一大股东为国家开发基金, 持股比例 6.38%; 第二 三大股东均为机构投资者 合适的股权结构优化企业效率, 有利于解决管理层代理问题 产业基金的进一步介入, 将有助于加速星科金鹏的整合和利润释放 图 66: 长电科技股权结构 资料来源 : 公司公告, 天风证券研究所 长电科技销售收入在 2016 年全球前 10 大委外封测厂排名第三, 已经超过台湾地区矽品 (SPIL) 业务覆盖国际 国内全部高端客户, 包括高通 博通 SanDisk Marvell 海思 展讯 锐迪科等 长电科技旗下的星科金朋主营业务是集成电路封装与测试, 向集成电路设计与制造企业提供涵盖封装设计 焊锡凸块 针探 组装 测试 配送等一整套半导体封装测试解决方案, 是原全球第四大半导体封装测试公司 图 67: 星科金朋股权结构示意图 请务必阅读正文之后的信息披露和免责申明 49

50 资料来源 : 公司官网, 天风证券研究所 公司财务分析 长电科技 2017 年利润增速 223%, 全年实现营收 239 亿元, 同比增长 24.54%; 实现归属于上市公司股东的净利润 3.43 亿元, 同比 % 公司受益于原长电业绩持续增长及子公司 JSCK 业绩的大幅改善 2015 年长电科技并购星科金朋以来, 整合过程推进较为顺利,2016 年亏损同比显著降低 26.73%,2017 年前三季度受上海厂搬迁影响, 亏损有所扩大 9 月上海厂搬迁成功, 新厂运营良好,Q4 营收环比增长近 50%,FcCSP 产量创历史新高, 单季度基本实现盈亏平衡 我们预计未来随着产能利用率提升能带来利润释放的巨大弹性, 星科金朋拥有国际领先一流的封装技术, 未来有望与原长电科技本土市场快速配套完美融合, 协同发展, 助力公司全面进军国际顶尖客户供应链, 提升公司全球市场份额, 巨大战略价值也将逐步显现 我们的观点 : 从中长期而言, 长电科技受益中国半导体行业的兴盛和国家支持, 公司作为封测龙头前景光明 从短期看, 公司营收的增长确定性较强 :1) 收购星科金朋带来市场份额提升和客户导入 ; 2) 受益中国在建的 Fab 需求, 规模效应驱动公司成长速度优于整体行业均速 但带来的利润反转需要时间观察 :1) 星科金朋产能利用率一直处于盈亏平衡线以下, 造成毛利率显著低于行业平均水准 封测企业产能利用率和产品结构决定了持续盈利的能力 2) 收购的利息费用仍然将在一定时间内侵蚀利润 3) 对于移动通信类大客户依赖度过高, 体现出明显的季节性 4) 新加坡和韩国厂在海外, 整合管理方面需要更长的时间追踪 4.4. 通富微电 成长中的龙头 公司简介 通富微电于 1997 年 10 月成为中日合资公司, 由南通华达微电子集团有限公司和富士通 ( 中国 ) 有限公司共同投资设立, 公司产品应用领域包括微处理器 数字电路 模拟电路 数模混合电路 射频电路等 公司的主营业务为集成电路的封装与测试, 通富微电重组的标的公司富润达 通润达为完成跨境并购设立的 SPV 公司, 无实际经营业务 重组收购的经营实体通富超威苏州及通富超威槟城原为全球一流芯片厂商 AMD 下属专业从事封装 测试业务的内部工厂, 目前为通富微电与 AMD 合资而面向高端客户的封装测试 (OSAT) 厂商 苏州及槟城 JV 能够提供品种最为完整的倒装芯片封测服务, 同时, 有能力支持国产 CPU GPU 网关服务器 基站处理器 FPGA 等产品的研发和量产, 提前完成了在国产 请务必阅读正文之后的信息披露和免责申明 50

51 CPU 产业链方面的布局 收购 AMD 厂家完成后上市公司前三大股东将变为华达微 富士通 国家集成电路产业投资基金 图 68: 公司重组基本信息图 69: 公司股权结构情况及变化 (%) 资料来源 : 巨潮资讯网, 天风证券研究所 资料来源 : 公司公告, 天风证券研究所 通富微电拥有 AMD TI MTK 等高端客户, 全球前 10 大无晶圆厂 IC 设计公司, 已经有 5 家成为公司的客户 通富微电近年来资产及收入规模不断增长, 盈利能力不断提升, 近两年的营业收入同比增速都保持在 40% 以上 通富微电目前是排名全球第七的封测企业 通富微电拥有 Bumping WLCSP FC BGA SiP 等先进封测技术,QFN QFP SO 等传统封测技术以及汽车电子产品 MEMS 等封测技术, 以及圆片测试 系统测试等测试技术 通富微电新老产品 传统与高端产品配合, 市场占有率不断提升,BGA FC WLP Bumping Sip 等高端产品增速突飞猛进,2016 年报提到,FC WLP 产品增幅已超 100% 公司在国内封测企业中率先实现 12 英寸 28 纳米手机处理器芯片后工序全制程大规模生产, 包括 Bumping CP FC FT SLT 等 公司的产品和技术广泛应用于高端处理器芯片 (CPU GPU) 存储器 信息终端 物联网 功率模块 汽车电子等面向智能化时代的云 管 端领域 通富微电在行业内率先通过 ISO9001 ISO/TS16949 等质量体系 采用 SAP MES 设备自动化 EDI 等信息系统, 可按照客户个性化的规范自动控制生产过程, 实时和客户进行信息交互 实施 通富微电工业 4.0 项目, 全面构建以物联网为基础的智慧工厂, 建立柔性自动化流水线, 与客户实现合作共赢 财务分析 公司在并购 AMD 后海外市场规模持续扩大, 随着苏通产业园和合肥产业园的建设完成进入产能和良率的爬坡期, 公司的国内收入规模也获得了有效的提升, 盈利能力方面暂时仍然处于较低水平, 但是未来在产能释放的情况下将会逐步恢复到理想水平 公司主营业务为集成电路的封装测试, 主要生产基地包括南通崇川总部 南通苏通产业园 安徽合肥产业 通富超微苏州和通富超微槟城, 根据公司年报显示, 通富微电 2017 年封测产品生产数量为 亿块, 我们认为公司营收本年度营收规模有望突破 80 亿,2018 年全年产量预计为 260 亿块, 崇川本部产量预计达到 120 亿块, 南通苏通产业园满负荷运行产能预测为 6 亿块, 合肥通富产量为 33 亿块, 并购的 AMD 两厂区合计产能有望接近百亿块 ( 因竣工期不定, 暂不考虑厦门通富产能 ) 请务必阅读正文之后的信息披露和免责申明 51

52 图 70:2018 年主要生产基地的收入产能预测 ( 万块 ) 图 71:2018 年主要生产基地的产能规模占比 (%) 总计 崇川本部 % 36.50% 合肥通富 南通通富 通富超威苏州 & 槟城 % 12.55% 通富超威苏州 & 槟城南通通富合肥通富崇川本部 资料来源 :wind, 公司年报, 天风证券研究所 预计公司 18 年营收为 84.1 亿元, 根据 2017 年公司各大分厂营收分类可得 : 通富超威苏州营收 亿, 通富超威槟城营收 亿, 南通通富 1.47 亿, 合肥通富 1.53 亿, 崇川本部营收 亿 ( 暂不统计未竣工的厦门通富厂产能 ), 各厂区下线投产顺利, 明后年产能增势可观 表 13: 通富微电营收测算 ( 亿元 ) E 2019E 2020E 通富超威苏州 通富超威槟城 南通通富 合肥通富 崇川本部 资料来源 :wind, 公司年报, 天风证券研究所 我们拆分各厂收益后计算, 根据 AMD 承诺业绩和考虑崇川本厂毛利率的回升, 通富微电 19 年及 2020 年业绩有望高速增长, 由于 2017 年多厂区产能处于启动初期, 同年年报毛利率受到较大影响, 根据 2018 年一季报显示, 公司毛利率已快速回升至 17.8%, 同期管理费用及销售费用控制力度较好, 我们加回因汇兑损失的利润 1.98 亿,2017 年公司实际盈利能力接近 3 亿元 公司崇川本厂 18 年营收受比特大陆订单刺激, 整体增速有望维持在 30% 表 14: 通富微电净利润测算 ( 亿元 ) 2018E 2019E 2020E 通富超威苏州 通富超威槟城 南通通富 合肥通富 崇川本部 归母净利润总计 我们认为, 通富微电作为我国半导体封测业核心龙头企业, 在并购整合 AMD 旗下优势公 请务必阅读正文之后的信息披露和免责申明 52

53 司后, 公司在集成电路领域具有长久持续发展的前景 短期的汇兑影响无损于公司中长期健康发展, 国家集成电路产业基金入股意义深远, 随着公司产能的大幅攀升, 多个产业基地产出将大有可观, 产能的大幅提升有助于毛利的进一步稳定, 下季度及明后年公司发展可期 4.5. 华天科技 优质运营促进内生增长 公司简介 天水华天科技公司成立于 2003 年 12 月,2007 年 11 月在深圳证券交易所挂牌上市交易 公司主要从事半导体集成电路封装测试业务 目前公司集成电路封装产品主要有 DIP/SDIP SOT SOP SSOP TSSOP/ETSSOP QFP/LQFP/TQFP QFN/DFN BGA/LGA FC MCM (MCP) SiP WLP TSV Bumping MEMS 等多个系列, 产品主要应用于计算机 网络通讯 消费电子及智能移动终端 物联网 工业自动化控制 汽车电子等电子整机和智能化领域 公司集成电路年封装规模和销售收入均位列我国同行业上市公司第二位 公司正在逐渐形成天水, 西安, 昆山三线发展的格局 三地定位不同, 也没有重复的客户, 针对不同客户需求, 发展各自的拳头产品, 形成协同效应 西安的 QFN 和 BGA 产线处于鼎盛时期, 而昆山是公司发展的重点, 未来的增速也将是最快的 公司核心客户包括 FPC 汇顶 展讯 MPS PI SEMTECH ST 意法半导体等 2016 年全年, 公司前五大客户总销售金额达到 17.9 亿人民币, 贡献了全公司 32.70% 的营收 华天科技的先进封装 TSV 硅通孔 (Through-silicon vias, TSVs) 技术已经成为高端存储器的首选互连解决方案 到 2020 年,3D 硅通孔和 2.5D 互连技术市场预计将达到约 200 万块晶圆, 复合年增长率将达 22% 其市场增长驱动力主要来自高端图形应用 高性能计算 网络和数据中心对 3D 存储器应用的需求增长, 以及指纹识别传感器 环境光传感器 射频滤波器和 LED 等新应用的快速发展 TSV 是华天科技的重点发展方向华天昆山基地以发展 TSV 技术为主, 主要产品即为 CMOS 图像传感器 昆山重点发展 TSV 技术, 华天昆山子公司是目前中国大陆唯一同时能够实现 8 12 寸 Bumping TSV 量产封装的企业 已经得到国内一流整机厂商的验证, 2017 年经营利润为 3460 万, 明后年有望继续给公司带来业绩上的贡献 图 72:TSV 先进封装技术的年均增长率 (%) 资料来源 : 麦姆斯咨询, 天风证券研究所 从三地情况来看, 随着募投产能的释放, 天水继续保持稳定增长贡献 ; 根据公司 17 年报, 西安子公司作为先进募投产能基地, 2017 年度实现净利润 2.23 亿元, 较上年度增长 %, 预计 2018 年将继续维持高速增长成为净利润增长贡献的主要引擎 请务必阅读正文之后的信息披露和免责申明 53

54 复牌长电科技和华天科技的股价走势我们发现, 拉长时间轴看, 两者的上涨区间基本一致, 都是与行业处于上升周期相关, 行业确定性增长机会带动公司股价上扬 我们观察到, 当行业整体毛利率上行的时候, 股价开始上扬 受益于募投项目的产能释放和产品结构提升, 我们继续看好华天科技在利用运营优势充分发挥的情况下, 最大化利润的能力, 明年仍将处于高速增长期 我们估值以重资产企业 PB ROE 衡量, 华天科技是最具有投资价值的封测企业之一 5. 制造 跻身第二集团, 通过资本扩张和人才集聚, 有望实现向第一集团的突破 我们认为, 晶圆制造环节作为半导体产业链中至关重要的工序, 制造工艺高低直接影响半导体产业先进程度 过去二十年内国内晶圆制造环节发展较为滞后, 未来在国家政策和大基金的支持之下有望进行快速追赶, 将有效提振整个半导体行业链的技术密度 半导体制造在半导体产业链里具有卡口地位 制造是产业链里的核心环节, 地位的重要性不言而喻 统计行业里各个环节的价值量, 制造环节的价值量最大, 同时毛利率也处于行业较高水平, 因为 Fabless+Foundry+OSAT 的模式成为趋势,Foundry 在整个产业链中的重要程度也逐步提升, 可以这么认为,Foundry 是一个卡口, 产能的输出都由制造企业所掌控 代工业呈现非常明显的头部效应根据 IC Insights 的数据显示, 在全球前十大代工厂商中, 台积电一家占据了超过一半的市场份额,2017 年前八家市场份额接近 90%, 同时代工主要集中在东亚地区, 美国很少有此类型的公司, 这也和产业转移和产业分工有关 我们认为, 中国大陆通过资本投资和人才集聚, 是有可能在未来十年实现代工超越的 图 73:2017 年世界晶圆厂营收前十强 ( 亿美元 ) 资料来源 :IC Insights, 天风证券研究所 图 74:2017 年全球晶圆代工市场份额占比 (%) 请务必阅读正文之后的信息披露和免责申明 54

55 2.2% 11.6% 2.2% 2.4% 5.0% 7.4% 51.6% 7.9% 9.7% 台积电 格罗方德 ( 美 ) 联电 三星 中芯国际 力晶 华虹集团 TowerJazz 其他 资料来源 :IC Insights, 天风证券研究所 全球集成电路产业链的分工变迁, 是行业战略方向上的重要趋势, 尤其以中国崛起为未来十年的核心看点集成电路产业转移符合 劳动密集型 > 资本技术密集型 > 技术密集及高附加值产业 的轨迹 中国已经接近完成 劳动密集型 产业 ( 封测业 ) 的转移, 下一阶段是 资本技术密集型 产业 ( 制造业 ) 的转移, 这是产业转移方向上的重要趋势 围绕 中国制造 环节上的投资和相关标的是当下二级市场半导体投资的主线 日本经济学家赤松要在 1956 年提出了产业发展的 雁行模式, 认为日本的产业发展经历了进口 进口替代 出口 重新进口四个阶段 从这个角度来看, 中国的集成电路正在经历当年日本所经历过的路线 世界的集成电路经过了两次产业转移, 第一次在 20 世纪 70 年代末, 从美国转移到了日本, 造就了富士通 日立 东芝 NEC 等世界顶级的集成电路制造商 ; 第二次在 20 世纪 80 年代末, 韩国与台湾成为这一次转移过程中的受益者, 崛起了三星和台积电这样的制造业巨头 集成电路产业的产业转移也包含着一定的技术特征, 转移路径按照劳动密集型产业 资本技术密集产业 技术密集与高附加值产业 第一阶段的产业转移为封装测试环节, 美国很多半导体企业或将自身的封测部门卖出剥离, 或是将测试工厂转移到东南亚, 在产业转移过程中, 台湾的很多封测企业开始崛起, 比如日月光和矽品等 第二阶段的产业转移为制造环节, 这和集成电路产业分工逐渐细分有关系 集成电路的生产模式由原先的 IDM 为主转换为 Fabless+Foundry+OSAT, 产业链里的每个环节都分工明确 在制造转移的过程中, 台湾的 TSMC 崛起成为现在最大的代工厂 目前, 凭借巨大的市场需求, 较低的人工成本, 中国的 OSAT 和 Foundry 正有接力台湾, 成为未来 5 年产业转移的重点区域 本土半导体市场需求和供给仍然错配, 有潜力去进行国产替代 中国大陆地区的半导体销售额占全球半导体市场的销售额比重逐年上升, 从 2008 年的 18% 上升到 1H2016 的 31%, 同时中国半导体制造产能仅为全球的 12%, 需求和供给之间存在错配 图 75: 全球半导体销售额 ( 百万美元 ) 图 76: 中国半导体产能 (KWPM) 请务必阅读正文之后的信息披露和免责申明 55

56 资料来源 :Semi, 天风证券研究所 资料来源 :Semi, 天风证券研究所 国内从上游的芯片设计制造到下游的整机已经形成完整产业链, 带来可期待的产业链协同效应 我们看到中国正在形成从整机到上游芯片完整产业链的布局, 这一点同台湾地区 美国 日韩都不尽相同, 台湾地区的电子集中在上游的芯片, 从 Fabless+Foundry+OSAT, 但是欠缺下游的整机品牌, 同时芯片环节没有形成规模的集群效应, 芯片每个环节只有一两家龙头企业 ; 美国在芯片设计领域是全球最强, 但是下游的整机品牌数量正在被中国逐渐超过 ; 韩国和台湾的格局有些类似, 有一些大而全的企业, 但是缺乏完整的集群效应 只有中国, 凭借广阔的下游市场和完整的集成电路产业链, 正在逐渐崛起 中国制造 要从下游往上游延伸, 在技术转移路线上, 半导体制造是 中国制造 尚未攻克的技术堡垒 中国是个 制造大国, 但 中国制造 主要都是整机产品, 在最上游的 芯片制造 领域, 中国还和国际领先水平有很大差距 在从下游的制造向 芯片制造 转移过程中, 一定要涌现出一批技术领先的晶圆代工企业 在芯片贸易战打响之时, 美国对我国制造业技术封锁和打压首当其冲, 我们在努力传承 两弹一星 精神, 自力更生艰苦创业的同时, 如何处理与台湾地区先进企业台积电 联电之间的关系也会对后续发展产生较大的蝴蝶效应 5.1. 中芯国际 穿越黑暗隧道, 迎接希望曙光 全球领先的晶圆代工厂 中芯国际是世界领先的集成电路晶圆代工企业之一, 也是中国内地规模最大 技术最先进的集成电路晶圆代工企业 中芯国际向全球客户提供 0.35 微米到 28 纳米晶圆代工与技术服务, 包括逻辑芯片, 混合信号 / 射频收发芯片, 耐高压芯片, 系统芯片, 闪存芯片,EEPROM 芯片, 图像传感器芯片及 LCoS 微型显示器芯片, 电源管理, 微型机电系统等 2004 年公司在港交所和纳斯达克完成上市 另外, 公司拥有多样化的实验室和工具, 可用于化学和原材料分析 产品失效分析 良率改进 可靠性检验与监控, 以及设备校准等 在整个制作过程及从研发到量产的全程服务中, 中芯整合了全面的品质与控制系统 图 77: 公司发展历程 请务必阅读正文之后的信息披露和免责申明 56

57 资料来源 : 公司公告 天风证券研究所 2015 年 10 月, 中芯国际连续宣布新厂投资计划, 在上海和深圳分别新建一条 12 英寸生产线, 天津的 8 英寸生产线产能预计将从 4.5 万片 / 月扩大至 15 万片 / 月, 成为全球单体最大的 8 英寸生产线, 未来中芯国际将联合创新, 带动中国半导体产业链的发展稳步前进 产品结构上移叠加产能利用率持续高位有望进一步提升公司的盈利水平 对比台积电, 中芯国际的制程较为落后 台积电的成熟工艺占总产能的比重为 55%, 而中芯国际则高达 86% 对比 2016 年中芯国际和台积电的产能, 台积电的总产能是中芯国际总产能的 5 倍, 而成熟工艺方面, 台积电产能是中芯国际的 3.5 倍 图 78: 中芯国际 VS 台积电产能 (K/ 年 ) 中芯国际 台积电 合计约当 8 寸产能 (K/ 年 ) 45nm 及以上产能 (K/ 年 ) 资料来源 :Wind, 天风证券研究所 从技术路径上来看, 未来半导体产品的发展会将分化为 More Moore 和 More than Moore 两条路线 More Moore 是纵向发展的路径, 要求芯片不断的遵从摩尔定律, 不断往比例缩小制程的路径上走 需要满足摩尔定律的芯片, 主要以数字芯片为主, 包括 AP\CPU\ 存储芯片等 在制程上, 需要最先进的节点来满足性能要求 目前最先进的逻辑芯片代工制程是 请务必阅读正文之后的信息披露和免责申明 57

58 16/14nm/7nm, 供应商为台积电, 客户有高通, 苹果, 英伟达等客户 More than Moore 是横向发展的路线, 芯片发展从一味追求功耗下降及性能提升方面, 转向更加务实的满足市场的需求 这方面的产品包括了模拟 /RF 器件, 无源器件 电源管理器件等, 大约占到了剩下的那 50% 市场 这其中的代工供应商有中芯国际, 台联电, 台积电等 图 79: 摩尔定律路径 资料来源 :Wind, 天风证券研究所 然而, 时至今日, 摩尔定律正在逐渐失效 半导体的技术发展也似乎走到了一个十字路口 行业的发展不会再像之前那样似乎还能按照摩尔定律的节奏继续往下走 按照 2015 年最新的国际半导体技术路线图给出的预测, 半导体技术在 10nm 之后将会逐步停滞 图 80: 摩尔定律走向极限 资料来源 :IFRS, 天风证券研究所 同时, 摩尔定律的经济效应也不再明显, 原因是因为 : 追求摩尔定律要求复杂的制造工艺, 该工艺高昂的成本超过了由此带来的成本节约 新工艺越来越难, 投资额越来越大, 下图可见, 目前建一个最新制程的半导体工厂成本达 120 亿美元之多, 而赚回投资额的时间将会很漫长 请务必阅读正文之后的信息披露和免责申明 58

59 图 81: 最新制程的 Fab 成本 ( 亿美元 ) 最新制程的 Fab 成本 ( 亿美元 ) 资料来源 :IC Insight, 天风证券研究所 具体到每个晶体管的制造成本, 起初随着摩尔定律的发展, 制程的进步会带来成本的下降, 从 130nm-28nm, 每个晶体管的制造成本相对上一代都有下降 但是, 下降的幅度在收窄 到了 20nm 以后, 成本开始逐步提高 这也意味着, 发展先进制程在成本方面不再具有优势 图 82: 制程成本比较 (%) 10% 130nm 90nm 65nm 40nm 28nm 20nm 16/14nm 0% -10% -20% -30% -40% -50% 资料来源 :SIA, 天风证券研究所 显而易见, 在先进制程制造成本不断攀升, 发展先进制程也不再具有成本优势的情况下, 晶圆制造会越来越垄断地集中在几家手上 也只有巨头才能不断地研发推动技术的向前发展 拥有 20nm 代工能力的厂家, 只有台积电 三星 Intel 等寥寥几家 在晶圆制造方面, 集中度越来越高 图 83: 晶圆制造寡头垄断 请务必阅读正文之后的信息披露和免责申明 59

60 资料来源 :Wind, 天风证券研究所 中国的设计公司崛起给本土制造商带来驱动效应, 本土虚拟 IDM 构建会成为产业转移趋势下的主旋律 从 2011 年开始, 受益于下游整机市场的兴起, 中国本土的设计企业开始迅速崛起, 增速远大于全球设计公司的 CAGR 我们看到全球设计业的 CAGR 为 3%, 而中国的这一数据为 22%, 远高于全球设计业的水准 在中国设计公司快速增长的过程中, 中国的制造企业龙头中芯国际自然享受成长红利, 我们看到从 年里, 伴随着设计企业的崛起, 中芯国际在中国大陆地区的 CAGR 达到了 19.11% 这和中国大陆地区的设计公司快速增长息息相关 图 84: 全球 & 中国 Fabless 产值 ( 十亿美元 ) 图 85: 中芯国际中国区收入及占比 ( 亿元,%) 中国大陆及香港营收 ( 亿元 ) 营收占比 (%) 60% 40% 20% 0% 资料来源 :Wind, 天风证券研究所 资料来源 :Wind, 天风证券研究所 晋升之路 : 发力多工艺节点 构建完整的代工制造平台 中芯国际已经构建相对完整的代工制造平台 从工艺技术角度看, 中芯国际引入了 8 代工艺技术, 分别是 28nm 40nm 65/55nm 先进逻辑技术 ;90nm 0.13/0.11μm 0.18μm 0.25μm 0.35μm 成熟逻辑技术以及非挥发性存储器 模拟 / 电源管理 LCD 驱动 IC CMOS 微电子机械系统等产品线 特别是在 28nm 工艺上, 中芯国际现在仍是中国大陆唯一能够为客户提供 28nm 制程服务的纯晶圆代工厂 此外, 对于更先进的 14nm 工艺制程, 中芯国际也一直在持续开发, 随着梁孟松的到来, 中芯国际 14nm 工艺制程有望加速研发,19 年初进行规模量产 图 86: 年各工艺制程营收占比 (%) 请务必阅读正文之后的信息披露和免责申明 60

61 资料来源 : 公司公告 天风证券研究所 决战 28nm 长生命周期 推动集成电路前进的主要动力之一是光刻工艺尺寸的缩小 目前 28nm 采用的是 193nm 的浸液式方法, 当尺寸缩小到 22/20nm 时, 传统的光刻技术已无能为力, 必须采用辅助的两次图形曝光技术, 然而这样会增加掩模工艺次数, 从而导致成本增加和工艺循环周期的扩大, 这就造成了 20/22nm 无论从设计还是生产成本上一直无法实现很好的控制 因此, 综合技术和成本等各方面因素,28nm 将成为未来很长一段时间类的关键工艺节点 28nm 制程工艺主要分为多晶硅栅 + 氮氧化硅绝缘层栅极结构工艺 (Poly/SiON) 和金属栅极 + 高介电常数绝缘层 (High-k) 栅结构工艺 (HKMG 工艺 ) Poly/SiON 工艺的特点是成本地, 工艺简单, 适合对性能要求不高的手机和移动设备 HKMG 的优点是大幅减小漏电流, 降低晶体管的关键尺寸从而提升性能, 但是工艺相对复杂, 成本与 Poly/SiON 工艺相比较高 截止 2016 年底, 台积电是目前全球 28nm 市场的最大企业, 产能达到 片 / 月, 占整个 28nm 代工市场产能的 62%; 三星,GlobalFoundry, 联电的产能分别达到了 片 / 月,40000 片 / 月和 片 / 月 从供应端来看, 全球 28nm 的产能供给为 25 万片 / 月 图 87:28nm 产能占比 (%) 资料来源 : 赛迪顾问, 天风证券研究所 从需求端来看, 随着 28nm 工艺的成熟, 市场需求呈现快速增长的态势 从 2012 年的 91.3 万片 / 年到 2014 年的 万片 / 年, 年 CAGR 达 79.6% 根据赛迪顾问统计, 年 28nm 市场需求如下 图 88:28nm 制程需求量 ( 万片 / 年 ) 请务必阅读正文之后的信息披露和免责申明 61

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

<4D F736F F F696E74202D205A D C4EAC8ABC7F2BCB0D6D0B9FACFFBB7D1C0E0B5E7D7D3CDE2BFC7D3EBBDE1B9B9BCFED0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D205A D C4EAC8ABC7F2BCB0D6D0B9FACFFBB7D1C0E0B5E7D7D3CDE2BFC7D3EBBDE1B9B9BCFED0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3CABD5D> 2010 年中国 IC 设计行业研究报告 中国集成电路设计业正在快速成长,2001 年到 2009 年, 中国 IC 设计业的年复合增长率为 38% 2009 年, 中国 IC 设计公司的营收增长为 15%, 而全球 IC 产业营收同期则下降了 11% 中国半导体下游市场需求旺盛, 计算机仍然是最大的应用领域, 其次是消费电子和网络通信设备 中国 IC 设计企业仍然相对弱小 2009 年, 中国最大的

More information

慧博投研资讯 -

慧博投研资讯 - 电子 电子行业产业链投资全景图 ( 一 ) 集成电路国产替代需求大 我国是目前全球主要的半导体消费市场, 集成电路是我国正在大力发展的核心产业, 可以运用在国家建设的各个方面, 但目前, 我国集成电路产业主要以采购海外产品为主, 国产替代需求大 整体而言, 国内半导体从设计到制造端的发展处于长期抗战阶段 ; 长期除了通过依靠资金支持与内需市场之外, 也需要通过积极寻求国际合作等方式, 强化自身在重要领域的技术实力

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉 江 苏 长 电 科 技 股 份 有 限 公 司 2013 年 度 非 公 开 发 行 A 股 股 票 募 集 资 金 使 用 的 可 行 性 分 析 报 告 为 了 进 一 步 提 升 江 苏 长 电 科 技 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 主 营 业 务 盈 利 能 力, 优 化 公 司 产 品 结 构, 改 善 公 司 财 务 状 况, 公 司 拟 向 不 超 过 10

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 DONGXING SECURITIES 完善光电显示上游布局, 瞄准石墨烯新领域 东旭光电 (000413) 调研简报 报告摘要 : 7 5, 2013 10 6 联系人 : 余江,6, 6 5/6 2017 年 1 月 20 日推荐 / 首次东旭光电调研简报 80% 执业证书编号 : S1480116030030 2016 3 8.5 3 69.5 交易数据 540 30 52 5.65-17.47

More information

% % 10% %-30% EPS PE 请务必

% % 10% %-30% EPS PE 请务必 公司报告 美亚柏科 (300188) 计算机 2016 年 12 月 12 日 巩固电子取证龙头地位, 发力网络空间安全及大数据行业 公司首次覆盖报告 证券研究报告 推荐 ( 首次 ) 现价 :24.25 元 / / www.300188.cn /23.58% /23.58% ( ) 487 A ( ) 281 B/H ( ) 0 118.16 A ( ) 68.13 ( ) 3.50 (%) 20.90

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

2011_中国私人财富报告_PDF版

2011_中国私人财富报告_PDF版 211 l l l l l l l l l l 1 l l l l l 2 3 4 5 6 8 6 52 62 72 CAGR ( 8-9) 39% 16% 16% 9% 13% 16% CAGR (9-1) 19% 49% 44% 17% 26% 17% CAGR ( 1-11E ) 16% 28% 27% 26% 2% 18% 4 38 63% 21% 7% 2 19% 16% 16% 28 29

More information

【半导体周报】中国初步禁售美光,8’’半导体产业链前景诱人

【半导体周报】中国初步禁售美光,8’’半导体产业链前景诱人 2018 年 07 月 05 日 创新技术与企业服务研究中心 证券研究报告 半导体行业研究 ) 行业周报 市场数据 ( 人民币 ) 市场优化平均市盈率 18.90 国金器件指数 3823.91 沪深 300 指数 3363.75 上证指数 2759.13 深证成指 9035.49 中小板综指 9237.93 中国初步禁售美光,8 半导体产业链前景诱人 本周重点 中国初步禁售美光 DRAM/NAND

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

天风证券*行业报告*一周半导体动向:从集成电路产业链分工看“中美贸易摩擦”下的国内行业机会/持续加推短中长期逻辑清晰的核心龙头--电子制造

天风证券*行业报告*一周半导体动向:从集成电路产业链分工看“中美贸易摩擦”下的国内行业机会/持续加推短中长期逻辑清晰的核心龙头--电子制造 电子制造 一周半导体动向 : 从集成电路产业链分工看 中美贸易摩擦 下的国内行业机会 / 持续加推短中长期逻辑清晰的核心龙头 中美产业链着重点不同, 决定了相关产业链的影响会有所偏重, 我们认为, 从 进口 角度考虑, 以国产替代逻辑维度将首先在产业链环节中的 设计 和 设备 对国内可替代企业产生正面影响 美国在集成电路领域里所擅长的是 设计 和 设备, 而中国在产业链里着重后端的封测和电子制造环节

More information

股份有限公司

股份有限公司 公 司 代 码 :600584 公 司 简 称 : 长 电 科 技 江 苏 长 电 科 技 股 份 有 限 公 司 2014 年 年 度 报 告 重 要 提 示 一 本 公 司 董 事 会 监 事 会 及 董 事 监 事 高 级 管 理 人 员 保 证 年 度 报 告 内 容 的 真 实 准 确 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 承 担 个 别 和

More information

山 东 省 重 点 行 业 技 术 发 展 白 皮 书 ( 二 ) 山 东 省 经 济 和 信 息 化 委 员 会 2016 年 7 月 前 言 推 进 供 给 侧 结 构 性 改 革, 必 须 牢 固 树 立 创 新 发 展 理 念 面 对 经 济 发 展 新 常 态 和 新 一 轮 全 球 产 业 变 革, 全 省 工 业 战 线 主 动 响 应 国 家 战 略, 积 极 调 整 发 展 思

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2014-2015 年中国半导体产业研究报告 2014-2015 年中国半导体产业研究报告 包含以下内容 : 1 全球半导体市场与产业分析 2 中国半导体市场与产业分析 3 11 家中国 IC 设计企业研究 4 5 家中国晶圆代工企业研究 5 4 家中国封测企业研究 根据中国半导体协会的数据,2014 年整个半导体产业链的总值超过 3000 亿人民币, 但与产品相关的产值只有 1047 亿人民币 (

More information

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 (

1. 事件 : 芯片国产化指数大涨 A 股芯片国产化概念板块 ( WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 ( SZ) 国科微 ( SZ) 上海新阳 ( SZ) 北方华创 ( SZ) 江丰电子 ( 2018-02-26 TMT 芯片国产化大涨 : 政府大基金投入终 结果, 国产替代趋势不可逆 核心提示 芯片国产化指数大涨 : A 股芯片国产化概念板块 (884160.WI) 继上周大涨 3.77% 后,2 月 26 日又强势大涨 5.95%, 景嘉微 (300474.SZ) 国科微(300672.SZ) 上海新阳 (300236.SZ) 北方华创(002371.SZ) 江丰电子 (300666.SZ)

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

上海市机器人行业协会信息 2016 年第 10 期 ( 总第 18 期 ) 编者按 : 聚焦安全协作创新未来推动机器人产业健康发展 加快上海科创中心建设, 助力产业升级 打造上海机器人生态圈和产业升级高地的战略思考 ( 一 ) 创新驱动, 深入探索机器人产业发展新模式 ( 二 ) 协同创新, 实现核心零部件和高端产品重大突破 ( 三 ) 开发共享, 构建长三角机器人产业发展新高地 ( 四 )

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

中小市值研究

中小市值研究 2017 年 01 月 11 日中小市值研究评级 : 推荐 ( 维持 ) 研究所 证券分析师 : 代鹏举 S0350512040001 021-68591581 daipj@ghzq.com.cn 联系人 : 姚哲巍 S0350116080001 18221921135 yaozw@ghzq.com.cn 中国半导体产业迈入发展的新阶段 半导体行业专题报告 最近一年行业走势 投资要点 : 30.00%

More information

PowerPoint Presentation

PowerPoint Presentation The Rise of China IC Industry - As A Global Ecosystem Partner Lung Chu 居龙 President,SEMI China July 13,2017 Outline China s IC Development Why? Government Initiatives vs Market Forces Investments Opportunities

More information

正文目录 一 半导体景气度依旧高涨, 芯片产业向大陆转移趋势不可阻挡 半导体景气度高涨,2018 年有望延续 大陆正扮演第三次集成电路产业转移承接者的角色... 5 二 制造 封测环节相对易突破, 芯片国产替代需求强烈 芯片产业链中制造和封测环节

正文目录 一 半导体景气度依旧高涨, 芯片产业向大陆转移趋势不可阻挡 半导体景气度高涨,2018 年有望延续 大陆正扮演第三次集成电路产业转移承接者的角色... 5 二 制造 封测环节相对易突破, 芯片国产替代需求强烈 芯片产业链中制造和封测环节 国产芯片未来 芯芯 向荣 电子行业深度报告 核心观点 半导体景气度依旧高涨, 芯片产业向大陆转移趋势不可阻挡根据 WSTS 的数据,2017 年全球半导体销售额同比增长 21.6%, 首次突破 4000 亿美元, 截至 18 年 1 月全球半导体销售额已连续 18 个月实现环比增长, 景气度依旧高涨 芯片从上世纪 50 年代发展至今, 大致经历了三大发展阶段 : 在美国发明起源 - 在日本加速发展

More information

电感-中文单页

电感-中文单页 o 360SERVICE comprehensive scheme for the sensor VALUE TECHNOLOGY QUALITY 着眼 大市场 高科技产品 建设国内一流 国际知名的大型综合化传感器及工业自动化产业集团 十余年来的拼搏使兰宝得以持续稳定地发展 完成了一个中国传感器企业由小到大 由弱到强 并迅速走向世界的发展历程 兰宝愿与国内外同行携手合作 共同为全球客户提供优质的产品和服务

More information

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信

相 关 政 策 三 网 融 合 推 进 及 宽 带 中 国 战 略 为 智 能 电 视 应 用 奠 定 基 础 智 能 电 视 是 三 网 融 合 的 终 端, 没 有 三 网 融 合, 智 能 电 视 普 及 将 是 空 谈 三 网 融 合 打 破 了 此 前 广 电 在 内 容 输 送 电 信 数 字 电 视 普 及 分 阶 段 实 施 2013 年 1 月 22 日 董 长 肜 郭 海 燕 ( 责 ) 李 纬 东 青 伶 俐 专 题 摘 要 近 期 专 题 研 究 目 录 摘 要 专 题 : 国 务 院 出 新 政 力 促 通 用 航 空 1 月 22 日 相 关 政 策 专 题 : 页 岩 气 第 二 批 中 标 结 果 公 布 1 月 22 日 行 业 发 展 专 题 : 前 海 开

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 2017 年下半年中国集成电路产业 走势分析与判断 赛迪智库集成电路研究所 2017 年 7 月 上半年情况综述 2 产业保持高速发展, 进出口量价齐涨 1 2017 年上半年, 中国集成电路产业依然保持两位数增长速度 2017 年 1-5 月, 我国生产集成电路 599.1 亿块, 同比增长 25.4% 据中国半导体行业协会统计,2017 年 1-3 月销售额为 954.3 亿元, 同比增长 19.5%

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

和辉光电项目投资报告

和辉光电项目投资报告 区域协同, 打造长三角集成电路芯高地 上海集成电路产业投资基金 沈伟国 董事长 2018 年 3 月 15 日 目录 3 一 长三角集成电路产业发展现状 二 长三角集成电路产业发展优势 三 长三角集成电路产业发展建议 四 小结 长三角集成电路产业发展现状 4 中国集成电路已然形成四个各有特色的产业集聚区 (1) 上海为中心的长三 角 (2) 北京为中心的环渤海 (3) 深圳为中心的泛珠三角 (4)

More information

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074>

<4D F736F F F696E74202D20B9B9BDA8D0C2D6C8D0F2A3ACBEDBBDB9B1B1BEA92D2DCCECCFE CFC4BCBEB2DFC2D4BBE128C0EEBEB0C6BD292E707074> 全球增速减缓, 中国风景独好 2008 年下半年半导体行业投资策略 天相资讯科技研究组赵磊 2008 年 6 月 21 半导体器件是元器件的重要组成部分 电子元器件行业的分类 半导体器件行业 : 1. 分为分立器件和集成电路 ; 2. 决定了电子产品的质量与性能 ; 3. 电子信息产业的重要组成部分 ; 4. 高科技 资本密集型行业 ; 5. 是信息产业的支柱 22 半导体产业产业链示意 我们通常提及的半导体产业除了半导体器件

More information

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化

浙江 云南 山西 学院 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 最高分 最低分 一本线 二本线 机械工程 能源与环境系统工程 机械设计制造及其自动化 2015 年上海工程技术大学本科分数线查询 学院 湖南辽宁新疆 最高分最低分一本线二本线最高分最低分一本线二本线最高分最低分一本线二本线 机械工程 468 458 446 381 能源与环境系统工程 504 501 500 419 449 444 446 381 机械设计制造及其自动化 ( 现代装备与控制工程 ) 计算机科学与技术 自动化 535 534 526 455 475 449 446 381

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

东吴证券研究所

东吴证券研究所 证券研究报告 行业研究 食品饮料行业 食品饮料周报 + ( ) : 3.8% 1% 1.7% 17 : 1 1 14 217 4 16 S651592 mahb@dwzq.com.cn 21-6199762 1 688716 2 217413 17Q1 2 + 15%+18%+ 17Q1 + 217411 15%+35%+ 3 6872 2 + +3% 1 217411 2 4 6327 + 217411

More information

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2

2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 上海市机器人行业协会信息 2016 年第 4 期 ( 总第 12 期 ) 机器人产业发展规划 (2016-2020 年 ) 解读 1. 发展现状 1 2. 全球机器人大佬都是谁? 3. 我国的差距在哪里? 2 4. 需求在哪, 机会就在哪 5. 领头品类是工业生产和公共服务 3 4 6. 在这些行业主力推广使用 7. 五类关键零部件 5 8. 创新平台, 标准体系, 检测认证, 一个都不能少 9.

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

Microsoft Word - B doc

Microsoft Word - B doc 和讯独家精彩千表咨询热线 :010-85650088 表 3-13 中国 A 行业 (24- 化学原料 ) 中公司最新基本状况表 ( 按公司流通排序 ) 报表日期 :2007 年 06 月 01 日 序号 / 代码 1-600108 公司简称 收盘价 理论价 总本 总流通 总流通值 流通占总 亚盛集团 11.13 元 5.49 元 14.41 亿 9.97 亿 111.0 亿 69.2% 元 中占

More information

感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商 發 展 動 態 結 論 與 建 議 簡 報 大 綱 1

感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商 發 展 動 態 結 論 與 建 議 簡 報 大 綱 1 全 球 感 測 器 市 場 分 析 與 台 灣 產 業 發 展 動 態 cindygu@micmail.iii.org.tw mic.iii.org.tw 顧 馨 文 資 深 產 業 分 析 師 產 業 情 報 研 究 所 (MIC) 財 團 法 人 資 訊 工 業 策 進 會 2012.8.23 感 測 器 市 場 與 產 品 應 用 主 要 廠 商 及 創 新 案 例 台 灣 半 導 體 廠 商

More information

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需

年中国大规模集成电路产量 ( 单位 : 亿块 ) 年中国集成电路市场销售收入 ( 单位 : 亿元 ) 年中国集成电路市场规模 ( 单位 : 亿元 ) 年, 中国集成电路业发展迅速, 但仍然难以满足市场需 第三章 中国集成电路产业发展现状 第一节整体状况 2006 年, 中国集成电路产业持续高速发展, 规模首次突破千亿元大关, 达到 1006.3 亿元, 同比增长达到 43.3% ; 从增长速度上看,2006 年集成电路产业市场规模与总产量的同比增幅与 2005 年相比, 均有较大幅度的提高 2006 年, 中国半导体产业 IC 设计 制造和封测三业同步快速发展, 其中 IC 设计业发展更为 迅速,

More information

【半导体周报】MCU行业开启新周期,传感器龙头韦尔股份再出手

【半导体周报】MCU行业开启新周期,传感器龙头韦尔股份再出手 170920 171220 180320 180620 2018 年 09 月 20 日 创新技术与企业服务研究中心 证券研究报告 半导体行业研究增持 ( 维持评级 ) ) 行业周报 市场数据 ( 人民币 ) 市场优化平均市盈率 18.90 国金器件指数 3448.15 沪深 300 指数 3312.48 上证指数 2730.85 深证成指 8248.12 中小板综指 8392.20 MCU 行业开启新周期,

More information

中国与欧洲关系 年

中国与欧洲关系 年 中国与欧洲关系 年 周 弘 本文全面回顾了中国与欧洲共同体 欧洲联盟及其成员国 年至 年间政治和经济关系的发展历程 分析了当前中欧关系取得的进展和存在的问题 作者认为 年来中国与欧洲关系的发展变化见证并体现了世界格局的演变以及中国和欧洲这两大很不相同的世界力量自身的发展变化 中欧之间不断深入和拓展的交往使双方都从中获益 而这种交往所创造出来的体制机制和方式方法也堪为人先 中欧关系能否在第二个 年中顺利发展

More information

AA+ AA % % 1.5 9

AA+ AA % % 1.5 9 2014 14 01 124753 2014 6 23 AA+ AA+ 2013 12 31 376.60 231.36 227.85 38.57% 2013 4.36 4.75 4.67 2011-2013 9.18 6.54 4.67 6.80 12 56.64% 1.5 9 2013 12 31 376.60 231.36 227.85 38.57% 2013 4.36 4.75 4.67 2013

More information

!!

!! 涂正革 肖 耿 本文根据中国 个省市地区 年规模以上工业企业投入 产出和污染排放数据 构建环境生产前沿函数模型 解析中国工业增长的源泉 特别是环境管制和产业环境结构变化对工业增长模式转变的影响 研究发现 现阶段中国工业快速增长的同时 污染排放总体上增长缓慢 环境全要素生产率已成为中国工业高速增长 污染减少的核心动力 环境管制对中国工业增长尚未起到实质性抑制作用 产业环境结构优化对经济增长 污染减少的贡献日益增大

More information

【半导体周报】5G手机新时代开启,ARM阵营CPU再战英特尔

【半导体周报】5G手机新时代开启,ARM阵营CPU再战英特尔 171206 180306 180606 180906 181206 2018 年 12 月 06 日 创新技术与企业服务研究中心 证券研究报告 半导体行业研究增持 ( 维持评级 ) ) 行业周报 市场数据 ( 人民币 ) 市场优化平均市盈率 18.90 国金集成电路指数 6047.13 沪深 300 指数 3181.67 上证指数 2605.18 深证成指 7735.05 中小板综指 7900.78

More information

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了

2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了 2018 年 1 月 9 日 中小盘 半导体 : 中国崛起正当时 行业深度 以史为鉴, 中国正面临着半导体第三次产业转移的历史性发展机遇 历史上的两次半导体产业转移均产生国际巨头企业, 现中国已成为半导体产业第三次转移的核心地区 1) 第一次 :20 世纪 70 年代, 从美国转移到了日本, 造就了富士通 日立 东芝 NEC 等世界顶级的集成电路 制造商 ;2) 第二次 :20 世纪 80 年代中后期,

More information

Management2.0: Competitive Advantage through Business Model Design and Innovation

Management2.0: Competitive Advantage through Business Model Design and Innovation 2014-2015 年全球及中国先进封装 ( Advanced Packaging) 研究报告 2014-2015 年全球及中国先进封装 (Advanced Packaging) 研究报告 包含以下内容 : 1 全球半导体市场与产业分析 2 中国半导体市场与产业分析 3 先进封装 (Advanced Packaging) 技术趋势 4 全球与中国先进封装 (Advanced Packaging) 产业分析

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

Microsoft Word - 20160721_玉山投顧_台股晨訊

Microsoft Word - 20160721_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 9007.68-27.19 948.70 OTC 130.47-0.52 246.74 7 月 台 指 期 9003.00-29.00 94,642 電 子 指 數 365.82-1.27 547.06 7 月 電 子 期 365.65-0.65 1,898 金 融

More information

潛力無窮的類比IC設計產業

潛力無窮的類比IC設計產業 IC IC IC IC IC 06 IC IC IC IC IC IC 敍 IC IC IC 0 1 IC IC IC 2006/09 9 (95) 055 86 5 (02)2361-8606 IC 3~5 IC IC 10~15 IC 3~5 IC IC IC IC IC IC IC IC IC IC IC 01 10~15 ASP 3~5 ASP IC IC PC IC WSTS (World

More information

慧博投研资讯 -

慧博投研资讯 - HeaderTable_User 16/09 16/10 16/11 16/12 17/01 17/02 17/03 17/04 17/05 17/06 17/07 810267106 849307396 1013244114 1544659266 1361147007 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

电子-12页

电子-12页 创建信息市场 实现智能城市价值挖掘 伸手触摸未来 中国车联网 发展现状与前瞻 序言 2 车联网掀开未来汽车新篇章 2013 2000 7% 2014 17.5 2016 2019 56 12,221 73.4% 76.8% 67.2% 48.3% 201 WeChat 39% 4.68 中国驾车者更加推崇个性化的联网服务 1 2 1. 2014 17.5 2014 1 21 2. 2014 06 04

More information

Slide 1

Slide 1 新形势下集成电路产业投资策略观察 刘晓宇,, 13681972568 Email: fisher.liu@163.com Mobile: 136 8197 2568 仅供参考, 不构成投资建议 半导体市场应用分布 2014 销售额 :3250 亿美元 ( 十亿美元 ) 2014 销售额 :3250 亿美元 ( 十亿美元 ) 8.7 19.5 29.9 43.7 59.2 92.0 72.1 逻辑芯片存储器处理器模拟电路光电器件传感器分立器件

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

华天科技 (2185)214 年报点评 一 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入 33.5 亿元, 同比增长 35.7%, 净利 润 3.6 亿元, 同比增长 52.37%, 其中归属于上市公司股东的净利润 2.98 亿元, 同比增长 49

华天科技 (2185)214 年报点评 一 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入 33.5 亿元, 同比增长 35.7%, 净利 润 3.6 亿元, 同比增长 52.37%, 其中归属于上市公司股东的净利润 2.98 亿元, 同比增长 49 14-3 14-5 14-7 14-9 14-11 15-1 15-3 点评报告 甘肃上市公司研究系列 报告日期 :215 年 3 月 2 日 产能释放以及行业进入顺风期, 业绩增长持续 华天科技 (2185)214 年报点评 52 周内股价走势图 1.% 8.% 6.% 4.% 2.%.% -2.% 投资要点 : 214 年业绩大幅增加, 盈利能力提升显著 公司发布 214 年年度报告, 报告期内实现营业收入

More information

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气

目 录 本 报 告 的 写 作 思 路... 5 一 行 情 回 顾 : 传 统 经 营 淡 季, 电 子 行 业 上 半 年 波 澜 不 惊... 5 二 行 业 前 景 初 判 断 : 先 行 指 标 温 和 回 暖... 6 1 总 体 指 标 与 先 行 指 标 电 子 行 业 高 景 气 行 业 研 究 电 子 元 器 件 :2015 年 度 投 资 策 略 报 告 2014 年 12 月 22 日 紧 随 智 能 化 浪 潮, 寻 找 成 长 性 标 的 看 好 ( 维 持 ) 2015 年 行 业 前 景 判 断 A 股 电 子 行 业 单 季 度 营 业 利 润 增 速 下 滑, 北 美 半 导 体 BB 值 最 近 两 月 均 低 于 1, 高 景 气 周 期 暂 告 一 段

More information

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系 东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系列东亚 汇添盈 结构性存款产品 TM1099( 人民币 ) 公募 1 级 境内挂钩投资产品系列 东亚

More information

<4D F736F F F696E74202D205A D C4EAC8ABC7F2BCB0D6D0B9FACFC8BDF8B7E2D7B0D0D0D2B5D1D0BEBFB1A8B8E6205BBCE

<4D F736F F F696E74202D205A D C4EAC8ABC7F2BCB0D6D0B9FACFC8BDF8B7E2D7B0D0D0D2B5D1D0BEBFB1A8B8E6205BBCE 2009-2010 年全球及中国先进封装行业研究报告 本报告将无引线 (Leadframe Free) 的封装定义为先进封装, 主要指 CSP 封装和 BGA 封装 先进封装主要用在手机 CPU GPU Chipset 数码相机 数码摄像机 平板电视 其中手机为最主要的 使用场合, 手机里所有的 IC 都需要采用先进封装, 平均每部手机 使用的 IC 大约为 12-18 颗 仅此就是大约 180 亿颗的先进封装市场

More information

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009] [ ] [ ] 1 1 1

A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009] [ ] [ ] 1 1 1 Nationz Technologies Inc. 3 301 302 4018 35 28 A02 A 2,720 25% 1.00 [ ] [ ] [ ] [ ] 10,880 25% [2009]1174 272 [ ] [ ] 1 1 1 1 1 2 8,160 2,720 10,880 25% [2009]1174 272 2009 2009 12 31 103,568,759.08 26

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

untitled

untitled 年 錄...1... 1 2008 年... 1 IC... 2...4... 4 利... 5... 8...10... 11 IC... 11 NAND Flash DRAM... 11 IC SoCSiP MEMS... 12 略 例...13 Elpida Memory, Inc... 13 Synopsys Taiwan Limited... 13...15 六...18 年來 IC 精

More information

Microsoft Word - 20160623_玉山投顧_台股晨訊

Microsoft Word - 20160623_玉山投顧_台股晨訊 玉 山 晨 訊 台 股 晨 訊 台 股 交 易 行 情 單 位 : 億 元 口 指 數 別 收 盤 漲 跌 成 交 量 加 權 指 數 8716.25 31.40 729.55 OTC 129.07 0.13 196.74 7 月 台 指 期 8509.00-1.00 113,230 電 子 指 數 351.69 1.22 424.02 7 月 電 子 期 342.85-0.25 2,537 金 融

More information

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大 我国防止公职人员利益冲突制度的变迁及完善 王琳瑜 杜治洲 北京航空航天大学公共管理学院 北京 改革开放三十余年来 中国防止公职人员利益冲突制度的变迁过程可以划分为探索 发展 加速推进三个阶段 呈现出制度建设的科学化水平不断提高 越来越注重制度的执行力 日趋国际化的发展趋势 进一步完善的制度建设应从四个方面入手 对防止公职人员利益冲突进行立法 重构现有制度并使其系统化 建立有效防止公职人员利益冲突的实施机制以提高制度执行力

More information

Microsoft Word - 20151116_玉山投顧_台股產業週報

Microsoft Word - 20151116_玉山投顧_台股產業週報 台 股 產 業 週 報 產 業 總 結...01 產 業 概 況 與 個 股 分 析 IC 設 計 晶 圓 代 工 及 通 路 IC 封 測....05 手 機 相 關 網 路 通 訊 生 技...09 TFT 面 板 面 板 零 組 件 PCB 記 憶 體...15 NB 相 關 工 業 電 腦 電 源 供 應 器 安 控...19 太 陽 能 LED 塑 膠 原 料 紡 織 橡 膠 輪 胎...24

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

南通富士通微电子股份有限公司2016年半年度报告摘要

南通富士通微电子股份有限公司2016年半年度报告摘要 证券代码 :002156 证券简称 : 通富微电公告编号 :2016-044 南通富士通微电子股份有限公司 2016 年半年度报告摘要 1 重要提示 本半年度报告摘要来自半年度报告全文, 投资者欲了解详细内容, 应当仔细阅读同时刊载于巨潮资讯网或深圳证券交易所网站等中国证监会指定网站上的半年度报告全文 公司简介 股票简称通富微电股票代码 002156 股票上市交易所 深圳证券交易所 联系人和联系方式董事会秘书证券事务代表

More information

Microsoft Word - Semi-conductor Sector_ _CN_.doc

Microsoft Word - Semi-conductor Sector_ _CN_.doc 电子元器件 证券研究报告 板块最新信息 增持 公司名称 股票代码 目标价 评级 七星电子 002371.CH - 未有评级 华天科技 002185.CH 人民币 13.50 买入 长电科技 600584.CH - 未有评级 晶方科技 603005.CH 人民币 36.05 谨慎买入 同方国芯 002049.CH 未有评级 我们的观点有何不同? 我国大陆已逐步形成 消费市场 终端品牌商 芯片设计 晶圆制造

More information

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头, 机械设备行业行业报告行业深度报告 投资评级 : 推荐 ( 首次 ) 报告日期 :2018 年 06 月 26 日分析师曲小溪 010-88366060-8712 Email:quxx@cgws.com 执业证书编号 :S1070514090001 张如许 0755-83559732 Email:zhangruxu@cgws.com 执业证书编号 :S1070517100002 联系人 ( 研究助理

More information

通富微电公司研究

通富微电公司研究 2015 年 09 月 28 日 通富微电 (002156.SZ) 集成电路行业 证券研究报告 评级 : 买入首次评级公司研究 市场价格 ( 人民币 ): 12.14 元目标价格 ( 人民币 ):17.27-20.15 元 值得关注的封装企业 长期竞争力评级 : 高于行业均值 市场数据 ( 人民币 ) 已上市流通 A 股 ( 百万股 ) 649.87 总市值 ( 百万元 ) 9,082.87 年内股价最高最低

More information

行业研究报告_有重点公司

行业研究报告_有重点公司 证券研究报告 行业研究 / 深度研究 2016 年 05 月 31 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 电子元器件 : 日月光矽品订婚,LED 价格理性回归 2016.05 2 上海新阳 (300236): 大基金力挺硅片国产化新阳再获增资

More information

以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车 联 网 苹 果 的 进

以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车 联 网 苹 果 的 进 看 得 见 的 未 来 挡 不 住 的 的 趋 势 安 信 证 券 研 究 中 心 子 研 究 团 队 2016 年 1 月 以 下 趋 势 是 挡 不 住 的 三 大 金 矿 是 未 来 最 好 的 三 个 产 业 从 C 到 B: 智 能 视 频 大 数 据 传 感 器 都 是 三 个 改 变 一 切 行 业 的 工 具 汽 车 子 新 蓝 海 : 从 新 能 源 HUD 无 人 驾 驶 到 车

More information

表 浙江每 万人中拥有各种文化程度的人数及居全国位次 表 年按不同学业完成情况的平均受教育年限

表 浙江每 万人中拥有各种文化程度的人数及居全国位次 表 年按不同学业完成情况的平均受教育年限 叶明德 孙胜梅 三普 四普 五普 资料显示 浙江省人口受教育程度偏低 在全国各省 市 区中处于中下水平 然而 改革开放 多年来 浙江省经济发展迅速 年全省国内生产总值由全国第 位跃至第 位 本文对受教育程度处于全国中下水平的浙江人口群体创造了全国一流经济业绩的现象进行了解释 并且认为 提高人口素质尤其是科学文化素质是浙江省全面建设小康社会 提前基本实现现代化进程中必须引起高度重视的战略性问题 浙江省

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

<4D F736F F F696E74202D20CED2B9FAB7E2D7B0D0D0D2B5B5C4B7A2D5B9CFD6D7B4BACDD7EED0C2BCBCCAF E >

<4D F736F F F696E74202D20CED2B9FAB7E2D7B0D0D0D2B5B5C4B7A2D5B9CFD6D7B4BACDD7EED0C2BCBCCAF E > 我国封装产业的发展现状 和最新技术 王新潮董事长 长电科技 2013 年 6 月 3 日, 上海 报告提纲 我国封装产业发展现状 封装行业规模及成长趋势 主要封测企业 国际地位和影响力面临的机遇和挑战 最新封装技术 国际最新封装技术发展趋势 内资企业封装技术开发及创新 结语 我国封装产业发展现状 封装行业规模及成长趋势 主要封测企业 国际地位和影响力 面临的机遇和挑战 2012 中国半导体产业逆势成长

More information

目录 1. 半导体行业高景气延续, 国内政策大力支持 半导体行业市场规模巨大, 国内半导体产业快速发展 半导体指数屡创新高, 北美 BB 连续 7 个月高于 国内政策对半导体行业支持力度进一步加大 A 股半导体产业链投资

目录 1. 半导体行业高景气延续, 国内政策大力支持 半导体行业市场规模巨大, 国内半导体产业快速发展 半导体指数屡创新高, 北美 BB 连续 7 个月高于 国内政策对半导体行业支持力度进一步加大 A 股半导体产业链投资 行业及产业 行业研究 / 行业研究 电子 / 元件 2014 年 07 月 07 日 半导体投资机会来临, 寻找产业链明日之星 强 芯 之路系列报告之一 证券研究报告 看好 相关研究 证券分析师张騄 A0230512070013 zhanglu@swsresearch.com 研究支持陆士杰 A0230114040001 lusj@swsresearch.com 联系人施妍 (8621)23297818

More information

一 调研说明中商情报网全新发布的 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资深专

一 调研说明中商情报网全新发布的 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料, 结合深入的市场调研资料, 由中商情报网的资深专 2011-2012 年全球及中国半导体封测行业研究报告 Customer Service Hotline:400-666-1917 Page 1 of 20 一 调研说明中商情报网全新发布的 2011-2012 年全球及中国半导体封测行业研究报告 主要依据国家统计局 国家发改委 商务部 中国海关 国务院发展研究中心 行业协会 工商 税务 海关 国内外相关刊物的基础信息以及行业研究单位等公布和提供的大量资料,

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

年中国芯片行业现状分析与发展趋势研究报告

年中国芯片行业现状分析与发展趋势研究报告 2016-2022 年中国芯片行业现状分 析与发展趋势研究报告 中国产业调研网 www.cir.cn 2016-2022 年中国芯片行业现状分析与发展趋势研究报告中国产业调研网 cir.cn 一 基本信息 报告名称 : 2016-2022 年中国芯片行业现状分析与发展趋势研究报告 报告编号 : 1955925 报告价格 : 优惠价格 : 纸质版 :8500 元电子版 :8800 元纸质 + 电子版

More information

天风证券*行业研究*半导体板块三季报总结/短期看好板块迎来估值修复下的反弹--半导体

天风证券*行业研究*半导体板块三季报总结/短期看好板块迎来估值修复下的反弹--半导体 半导体 半导体板块三季报总结 / 短期看好板块迎来估值修复下的反弹 本周我们对于整体板块三季度财报做归纳总结 从业绩层面上看封测板块受行业周期向下影响, 下游需求下单谨慎, 产能利用率降低导致业绩同比走弱, 同时营收增速回归趋于收敛, 板块整体逻辑在于龙头公司并购重组完成后整合利润的释放 ; 设计板块向来具备最大盈利弹性属性, 但贸易战影响下游客户备货意愿, 渠道去库存影响弥漫, 国内多数设计公司下游面向消费电子,

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排

数字电子技术 数字电子技术 数字电子技术 数字电子技术 数字电子技术 (A) (A) (A) (A) (A) 电力系统暂态分析 有机化学及实验 有机化学及实验 有机化学及实验 有机化学及实验 大学英语 大学英语 大学英语 大学英语 大学英语 大学英语 (1) 临潼校区重修上课安排 机械原理包装工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理包装工程 2016 上课 16 4 9-12 A-202 汪成龙 机械原理 2015 上课 16 4 9-12 A-202 汪成龙 机械原理机械电子工程 2015 上课 16 4 9-12 A-202 汪成龙 机械原理 机械原理 机械原理 机械原理 电路原理 电路原理 电路原理 2015 上课 16 4 9-12 A-202

More information

Microsoft Word _ doc

Microsoft Word _ doc 行业研究 半导体 中性 ( 维持 ) 库存仍处正常区间, 但波动可能性增加 全球半导体行业 2010 年 3 季度总结 2011 年 1 月 4 日 行业研究报告 重点公司 重点公司 10E 11E 评级 士兰微 0.60 0.80 推荐 华天科技 0.38 0.54 推荐 华微电子 0.20 0.38 推荐 相关报告 半导体 : 11 月行业仍清淡, 全年销售超 3000 亿美元 半导体行业 2010

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

Page 2 资料来源 : 财政部, 国信证券经济研究所整理 根据此次及上次晶圆制造优惠政策, 梳理国内主要受益的晶圆厂 根据 2017 年已设立的晶圆厂清单, 大部分新增晶圆厂主要都在 0.25 微米以下, 预计基本已能享受到 5 年减免 5 年减半的最优惠政策 其中新设的晶圆厂涉及上市公司的主要

Page 2 资料来源 : 财政部, 国信证券经济研究所整理 根据此次及上次晶圆制造优惠政策, 梳理国内主要受益的晶圆厂 根据 2017 年已设立的晶圆厂清单, 大部分新增晶圆厂主要都在 0.25 微米以下, 预计基本已能享受到 5 年减免 5 年减半的最优惠政策 其中新设的晶圆厂涉及上市公司的主要 行业研究 Page 1 证券研究报告 动态报告 / 行业快评 IT 硬件与设备 行业重大事件快评 超配 ( 维持评级 ) 半导体系列分析之 2018 年税收优惠影响 2018 年 04 月 04 日 证券分析师 : 欧阳仕华 0755-81981821 ouyangsh1@guosen.com.cn 证券投资咨询执业资格证书编码 :S0980517080002 证券分析师 : 唐泓翼 021-60875135

More information