内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件 /D 与 D/ 转换和数字电路综合案例等 本

Size: px
Start display at page:

Download "内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件 /D 与 D/ 转换和数字电路综合案例等 本"

Transcription

1 普通高等教育 十二五 规划教材 信息与电子技术类系列教材 数字电子技术 刘琨主编 李克勤乔瑞芳副主编 北 京 科学出版社职教技术出版中心

2 内容简介 本书根据近年来数字电子技术的新发展和作者多年的教学实践积累, 针对数字电子技术课程教学基本要求和学习特点编写而成 全书内容包括数制与码制 逻辑代数 逻辑门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲产生和整形电路 半导体存储器 可编程逻辑器件 /D 与 D/ 转换和数字电路综合案例等 本书适用于学时较少情况下的教学 (50~65 学时 ) 为了方便教学和自学, 本书配有实用的电子课件 思考题与习题参考答案 本书可作为理工院校大学生和其他层次高等学院计算机 电子 电气等相关专业教学用书, 也可供相关专业工程技术人员参考 图书在版编目 CIP 数据数字电子技术 / 刘琨主编. 北京 : 科学出版社,203 ISN Ⅰ. 数 Ⅱ. 刘 Ⅲ. 数字电路 - 电子技术 - 高等学校 - 教材 Ⅳ. TN79 中国版本图书馆 CIP 数据核字 (203) 第 号责任编辑 : 赵丽欣 / 责任校对 : 王万红责任印制 : 吕春珉 / 封面设计 : 东方人华平面设计部 北京东黄城根北街 6 号邮政编码 : 科学出版社发行各地新华书店经销 * 203 年 8 月第一版开本 : /6 203 年 8 月第一次印刷印张 :6 字数 : 定价 :34.00 元 ( 如有印装质量问题, 我社负责调换 百善 ) 销售部电话 编辑部电话 版权所有, 侵权必究举报电话 : ; ;

3 前 言 为了适应电子技术的高速发展和社会需求, 高等教育对计算机 电子 电气等信息类专业人才的培养提出了更高要求, 教材内容的更新和定位面临新的挑战 数字电子技术 是计算机 电子 电气信息类学生的专业基础课, 也是实践性很强的技术基础课程 按照教育部对 电子技术基础课程教学的基本要求, 学校要把学生培养成为有一定理论基础 有较强实战能力 有足够创新意识的应用型人才 编者根据从事数字电子技术基础教学工作多年和教学改革实践积累的丰富的经验, 依照目前高等教育对数字电子技术课程教材更新的需要, 纵观国内外相关课程教材, 分析应用型专业人才特点, 编写了本书 本书具有以下几个特点. 以应用为主, 筛选教学内容本书定位在大众化高等教育背景下应用型 创新型人才培养目标, 根据学生可能具备的认知状况 学生素质及能力要求, 本着 保基础, 重实践, 少而精 的原则, 对相关内容进行选择, 剪裁了一部分纯学术研究内容 本书适合较少学时教学, 建议学时为 50~65 因此, 编者大幅度削减了对器件内部电路的结构分析和工作原理的介绍, 如译码器 编码器 数据选择器 计数器等中规模集成器件内部电路分析的相关内容, 通过逻辑功能表 逻辑函数 引脚功能介绍等描述方法, 讲述器件的逻辑功能及器件的外部特性, 以便学生能够正确地使用器件 2. 案例驱动, 构筑知识应用架构以案例为驱动, 每章安排例题, 前 0 章每章最后安排一个实践案例, 将每章知识重点以应用实例的形式加以总结 最后一章将本书主要的知识点整合到一个综合案例中, 引导学生学有所用, 增强了本书的应用性和实践性 3. 更新理念, 紧跟课程发展趋势本书更新了目前数字电子技术教学领域的一些理念, 如第 5 章触发器中用锁存器代替了基本触发器, 用门控触发器代替了电平触发触发器, 并精心编排了触发器分类和编写顺序 在第 9 章可编程逻辑器件中, 重点介绍目前主流使用的可编程逻辑器件 CPLD 和 FPG 的结构, 而将较早发明的 PL 和 GL 等内容简化 4. 结构充实, 增加可读性增大图 表比例, 强化知识对比和总结, 并注重对 难点 内容进行细致推理解析和附图说明 每章设有 内容提要 和 小结, 并给出 基本教学要求 本书附带思考题与习题参考答案以及附录, 利于学生阅读和自学 本书统一使用国家标准的图形逻辑符号, 附录 是逻辑符号对照表, 方便学生查找对应的国际惯用图形逻辑符号 本书分 章 2 个附录, 由北京师范大学珠海分校刘琨任主编, 北京理工大学珠海学 科学出版社职教技术出版中心

4 ii 数字电子技术 院李克勤和吉林大学珠海学院乔瑞芳任副主编 第 章和第 4 章由北京理工大学珠海学院喻武龙编写, 第 2 章 第 5 章 第 8 章和第 章由吉林大学珠海学院乔瑞芳编写, 第 3 章 附录 和附录 由北京师范大学珠海分校彭宇帆编写, 第 6 章和第 9 章由北京师范大学珠海分校刘琨编写, 第 7 章和第 0 章由北京理工大学珠海学院宫鑫编写, 第 章部分内容由吉林大学珠海学院孙永坚编写 全书由李克勤审稿, 刘琨负责统编定稿 编者参考了许多相关书籍, 在此对本书选用参考文献的著作者致以真诚的感谢 限于编者水平, 加之时间仓促, 书中难免有不妥之处, 敬请业界同仁和读者批评指正

5 目 录 第 章数制与码制.... 模拟信号与数字信号..... 模拟信号 数字信号 进位计数制 数制的概念 十进制数 二进制数 八进制数 十六进制数 数制的转换 非十进制转换成十进制 十进制转换成非十进制 非十进制之间的转换 原码 反码和补码 码制 CD 码 可靠性编码 字符代码 实践案例 SCII 码的应用... 4 小结... 4 思考题与习题... 5 第 2 章逻辑代数 逻辑代数基础知识 逻辑代数的运算 逻辑代数的基本公式和定律 逻辑代数的 3 个基本定理 逻辑函数及其表示方法 逻辑函数 逻辑函数的表示方法 逻辑函数的两种标准形式 逻辑函数的公式化简法 逻辑函数的最简形式 公式化简法 科学出版社职教技术出版中心

6 iv 数字电子技术 2.4 逻辑函数的卡诺图化简法 逻辑函数的卡诺图表示法 利用卡诺图化简逻辑函数 具有无关项的逻辑函数化简 实践案例 原料传输系统 小结 思考题与习题 第 3 章逻辑门电路 半导体器件的开关特性 二极管的开关特性 晶体管的开关特性 MOS 管的开关特性 分立元器件门电路 二极管与门 二极管或门 晶体管非门 TTL 集成逻辑门 TTL 与非门的结构和工作原理 TTL 与非门的电气特性与主要参数 其他逻辑功能的 TTL 门电路 TTL 集电极开路门和三态逻辑门 TTL 门电路的使用规则 CMOS 集成逻辑门 CMOS 逻辑电路特点 CMOS 传输门 CMOS 漏极开路门与 CMOS 三态逻辑门 CMOS 门电路的使用规则 实践案例 水位告警器 小结 思考题与习题 第 4 章组合逻辑电路 组合逻辑电路概述 组合逻辑电路的分析 组合逻辑电路的设计 常用中规模组合逻辑电路 编码器 译码器 数据选择器 数据分配器... 83

7 目录 v 加法器 数值比较器 CD 系列芯片性能比较 竞争与冒险 基本概念 冒险的判别方法 竞争冒险的消除方法 实践案例 血型匹配功能电路 小结 思考题与习题... 0 第 5 章触发器 概述 基本 SR 触发器 电平触发的触发器 电平触发的 SR 触发器 电平触发的 D 触发器 脉冲触发的触发器 主从 SR 触发器 主从 JK 触发器 边沿触发的触发器 边沿 D 触发器 维持阻塞触发器 触发器的逻辑功能 SR 触发器的逻辑功能 JK 触发器的逻辑功能 D 触发器的逻辑功能 T 触发器的逻辑功能 T' 触发器的逻辑功能 触发器逻辑功能的转换... 9 科学出版社职教技术出版中心 触发器的电气特性 静态特性 动态特性 实践案例 并行数据存储电路 小结 思考题与习题 第 6 章时序逻辑电路 时序逻辑电路的基本概念 时序逻辑电路的分类 时序逻辑电路的基本结构和描述方法... 26

8 vi 数字电子技术 6.2 时序逻辑电路的分析方法 同步时序逻辑电路的分析方法 异步时序逻辑电路的分析方法 寄存器 寄存器和移位寄存器的结构组成及原理 移位寄存器及其应用 计数器 概述 同步计数器结构组成及原理 异步计数器结构组成及原理 集成计数器及其应用 同步时序逻辑电路的设计方法 时序逻辑电路设计的基本任务 时序逻辑电路的设计步骤 用中规模集成电路设计时序逻辑电路 用移位寄存器设计 用计数器设计 实践案例 病房呼叫系统 小结 思考题与习题 第 7 章脉冲产生和整形电路 多谐振荡器 门电路构成的多谐振荡器 石英晶体多谐振荡器 单稳态电路 门电路构成的微分型单稳态电路 集成单稳态触发器 单稳态电路的应用 施密特电路 门电路构成的施密特电路 施密特电路的应用 定时器及其应用 电路组成及工作原理 定时器构成的多谐振荡器 定时器构成单稳态电路 定时器构成的施密特电路 实践案例 简易电子琴 小结 思考题与习题... 83

9 目录 vii 第 8 章半导体存储器 概述 ROM 掩模 ROM 可编程 ROM RM SRM DRM 存储器容量的扩展 实践案例 存储器在计算机系统中的应用 小结 思考题与习题 第 9 章可编程逻辑器件 可编程逻辑器件 PLD 分类 PLD 基本结构 PLD 基本原理 高密度可编程逻辑器件 复杂可编程逻辑器件 CPLD 现场可编程门阵列 基于芯片的设计方法 硬件描述语言 实践案例 采用 VHDL 设计的触发器 小结 思考题与习题 第 0 章 /D 与 D/ 转换 DC DC 的组成 常见的 DC 电路 DC 的主要技术参数 DC DC 的转换特性 常见的 DC 电路 DC 的主要技术参数 科学出版社职教技术出版中心 实践案例 数控直流稳压电源 小结 思考题与习题 第 章数字电路综合案例 概述... 22

10 viii 数字电子技术.2 设计任务和要求 系统总体方案 设计可选元器件 设计方案分析 小结 思考题与习题 附录 逻辑符号对照表 附录 常见数字电路芯片引脚 思考题与习题参考答案 参考文献

11 第 章数制与码制 内容提要本章首先介绍了模拟信号和数字信号的相关概念, 然后详细介绍了各种数制的概念, 如原码 反码和补码的概念, 常见的 CD 编码 可靠性编码和 SCII 码等相关内容, 并以二进制为重点, 讨论了各种进制数相互转换的方法以及有符号二进制数的运算方法 基本教学要求. 掌握进制数的概念和不同进制数之间相互转换的方法 2. 掌握原码 反码 补码的概念及相互转换的方法 3. 掌握有符号数的二进制补码运算方法 4. 了解常用的 CD 码 可靠性码和 SCII 码. 模拟信号与数字信号 信号是消息的表现形式, 是运载消息的工具, 通常表现为随时间变化的某些物理量 从广义上讲, 它包含光信号 声音信号和电信号等 例如, 古代人利用点燃烽火而产生的滚滚狼烟属于光信号, 人与人之间交谈的话音属于声音信号, 太空中的各种无线电波和电话网中的电流则属于电信号等 按照在幅度上变化的连续性来分, 信号可分为模拟信号和数字信号.. 模拟信号模拟信号是指用连续变化的物理量表示的信息, 其信号的幅度随时间连续变化 在自然环境下, 大多数物理信号都是模拟信号, 如温度的变化不会在一瞬间从 25 跳变 到 26, 而是经历了 25~26 之间的所有值 图.. 是气象台记录某一天的温度 24 小时的变化情况, 这是一条光滑 连续的曲线, 所记录到的温度随时间变化而连续变化 温度 / 科学出版社 时间 /h 图.. 典型的模拟信号波形 职教技术出版中心

12 2 数字电子技术 其他常见的如广播中的声音信号 大气中的电磁波信号 汽车连续加速等, 都是值在值域范围内随时间连续变化..2 数字信号数字信号是指幅度的取值是离散的, 幅值表示被限制在有限个数值之内且在时间上不连续的信号 尽管自然界中大多数物理量是模拟的, 但有些物理量仍可以用数字形式来表示, 如电子表的秒信号 生产流水线上记录零件个数的计数信号等 这些信号的变化发生在一系列离散的瞬间, 其幅度取值也是离散的, 如图..2 所示 电压 /V +5 O 图..2 典型的数字信号波形 时间 /h 在各种数字信号中, 二进制数字信号是常见的一种数字信号, 只有两个离散值 :0 和 在数字电路中, 经常采用 0 和 表示两种不同状态的逻辑关系, 因此也称为二值数字逻辑 在二值数字逻辑关系中,0 和 不代表大小关系, 而代表两种不同的状态, 如 通常代表高电平, 称为逻辑 或逻辑高电平 ;0 通常代表低电平, 称为逻辑 0 或逻辑低电平.2 进位计数制.2. 数制的概念 在日常生活和工作中, 人们经常使用各种进制的计数方式, 如广泛使用的十进制数, 钟表计时采用的六十进制数, 数字系统中采用的二进制数 八进制数和十六进制数等 进位计数制简称数制, 其计数方法是把数划分为不同的数位, 由低位到高位逐位累加, 当某一数位累计到一定数量之后, 产生向高位的进位, 同时本位清零 数制的标识一般可采用数字下标法和字母法 数字下标法是在数据结尾加上基数作为下标, 如 ( 32.6) 0 ( 000) 2 ( 376) 8 ( 2F6) 6 等 字母法是在数据结尾采用字母表示, 其中 D(Decimal) 代表十进制数,(inary) 代表二进制数,O(Octal) 代表八进制数,H(Hexadecimal) 代表十六进制数, 如 32.6D O 2F6H 等 不同数制包含的可使用数码符号是不同的, 而数码符号的个数称为该进位计数制的基数, 记作 R 例如, 常见的二进制数码符号是 0 和, 基数为 2; 八进制数码符号是 0~7, 基数为 8; 十进制数码符号是 0~9, 基数为 0; 十六进制是 0~9,~ F, 基数为 6 某位的数码为 时所表征的数值大小, 称为该数位的权值 利用基数和权值的概念, 可以把任意 R 进制数值 D R 用权值展开法公式展开, 即将每位权值与该位的数码相乘后进行叠加, 如式 (.2.) 所示

13 第 章数制与码制 3 D a R a R a R a R a R n n 2 0 m R= n + n m n i = a R i= m i (.2.) 在式 (.2.) 中,n 是整数部分的位数,m 是小数部分的位数,R 是基数, R i 是第 i 位的权, a i 是第 i 位的数码, 因此某个数位上的数码 a i 所表示的数值等于数码 a i 与该 i 位的权值 R 的乘积.2.2 十进制数 十进制 (Decimal) 数共有 十个数码符号, 每位数累计不能超过 0, 计满 0 就要向高位进, 同时本位清 0; 而从高位借来的 相当于低位的数 0, 遵循 满十进一, 借一当十 的运算规则 对照权值展开法式 (.2.), 任意一个十进制数值可展开如下 : n n 2 0 m D = a 0 + a a 0 + a a 0 0 n n 2 0 m n i ai 0 i= m = (.2.2) 在式 (.2.2) 中, a i 是第 i 位的数码, 可以是 0~9 中的任何一个 ;0 为基数,0 的正次幂对应整数部分,0 的负次幂对应小数部分 十进制数默认不做任何标记, 或者可以用下标 0 或 D 表示十进制数, 如十进制数 可以表示为 (68.36) 0 或 68.36D, 并可展开为 ( ) = 二进制数 0 十进制数虽然在日常生活工作中应用很方便, 但在数字系统中经常采用二进制 (inary) 数 数字系统中存在两种不同工作状态的元器件很多, 如开关的接通和断开, 晶体管的导通和截止, 电位的高电平和低电平等 用二进制数 0 和 来表示两种不同工作状态不仅可行, 还容易实现, 因此二进制数在数字电路系统中得到广泛应用 二进制数的数码符号只有 0 和, 进位基数为 2, 遵循 满二进一, 借一当二 的运算规则 对照权值展开法式 (.2.), 任意一个二进制数可展开为 n n 2 0 m D = a 2 + a a 2 + a a 2 2 n n 2 0 m n i ai 2 i= m = (.2.3) 在式 (.2.3) 中, a i 是第 i 位的数码, 可以是 0 和 中的任何一个 ;2 为基数,2 的正次幂对应整数部分,2 的负次幂对应小数部分 二进制数一般用下标 2 或 表示, 如 (0.0) 2 或 0.0, 并可展开为 ( 0.0) 2 = 在二进制数中, 二进制的数位经常称为 位, 因此在二进制数 (0.0) 2 中, 整数有 4 位, 小数有 3 位, 并称最左边位为最高有效位 (MS), 最右边位为最低有效位 (LS) 例.2. 进行二进制数 (00.0) 2 +(.00) 2 的运算 科学出版社职教技术出版中心

14 4 数字电子技术 解 : 列出二进制加法算式, 即 所以,(00.0) 2 +(.00) 2 =(000.00) 八进制数 八进制 (Octal) 数共有 共 8 个数码符号, 进位基数为 8, 每计满 8 就要向高位进, 同时本位清 0; 而从高位借来的, 就相当于低位的数 8, 遵 循 满八进一, 借一当八 的运算规则 对照权值展开法式 (.2.), 任意一个八进制数可展开如下 : 2 0 D = a 8 + a a 8 + a a 8 n n m 8 n n 2 0 m n i = a 8 (.2.4) i= m i 在式 (.2.4) 中, a i 是第 i 位的数码, 可以是 0~7 中的任何一个 ;8 为基数,8 的正次幂对应整数部分,8 的负次幂对应小数部分 八进制数一般用下标 8 或者 O 表示, 如 (76.2) 8 或 76.2O, 并可展开为.2.5 十六进制数 ( 76.2) = 为了简化对二进制数的书写 记忆和交流, 经常将 4 位二进制数用一个十六进制 (Hexadecimal) 数码表示 十六进制数有 C D E F 共 6 个数码符号, 进位基数为 6, 每计满 6 就要向高位进, 同时本位清 0; 而 从高位借来的, 就相当于低位的数 6, 遵循 满十六进一, 借一当十六 的运算规则 对照权值展开法公式 (.2.), 任意一个十六进制数可展开如下 : 2 0 D = a 6 + a a 6 + a a 6 n n m 6 n n 2 0 m n i = a 6 (.2.5) i= m i 在式 (.2.5) 中, a i 是第 i 位的数码, 可以是 0~F 中的任何一个 ;6 为基数,6 的正次幂对应整数部分,6 的负次幂对应小数部分 十六进制数一般用下标 6 或者 H 表示, 如 (3.24) 6 或 3.24H, 并可展开为 ( 3.24) = 例.2.2 完成十六进制数 (234) 6 +(5678) 6 的运算 解 : 列出十六进制加法算式 : H = H CH 所以,(234) 6 +(5678) 6 =(68C) 6

15 第 章数制与码制 5.3 数制的转换.3. 非十进制转换成十进制 非十进制数转换成十进制数可按照式 (.2.) 展开, 即首先把非十进制数写成按权 展开的多项式, 然后按十进制数的计数规则对各项相加求和 例.3. 将二进制数 (00.0) 2 转换成十进制数 解 : 将要转换的二进制数按照式 (.2.) 按权展开相加 ( 00.0) = = = 例.3.2 将十六进制数 ( F.6) 6 转换成十进制数 解 : 将要转换的十六进制数按照式 (.2.) 按权展开相加 ( F.6) 6 = = = 十进制转换成非十进制 2 0 十进制数转换成非十进制数时, 要对十进制数的整数部分和小数部分分别进行转换, 然后将两个转换结果进行合并即可. 整数部分转换 十进制整数 D 转换成 R 进制数可采取基数连除法, 具体步骤如下 : ) 将整数 D 除以基数 R, 记下所除结果的商和余数 2) 将上一步所得的商再继续除以基数 R, 记下所除结果的商和余数 3) 重复步骤 2), 直至商为 0 结束, 并且每进行一次除法都要保留相应的余数 4) 把保留下来的余数转换成相应的 R 进制数码, 并按照跟运算过程相反的顺序把各个余数排列起来, 得到整数 D 所对应的 R 进制数 例.3.3 将 ( 367) 0 转换成十六进制数 解 : 按照十进制整数转换成十六进制数的方法, 对基数 6 进行连除并取余数 余 5(F) b 0 低 6 22 余 余 b 2 高 对于十六进制数, 当所表示数值大于 9 时需要用字母 ~F 来表示, 因此对连除后 得到的余数按照从高到低的顺序进行排序, 可得 :( 367) 0 = ( 6F) b 科学出版社职教技术出版中心

16 6 数字电子技术 2. 小数部分转换 十进制小数 M 转换成 R 进制数可采用基数连乘法, 具体步骤如下 : ) 将十进制小数 M 乘以基数 R, 记下所乘结果的整数部分, 保留小数部分 2) 将上一步乘积所得结果中的小数部分再乘以基数 R, 记下所乘结果的整数部分, 保留小数部分 3) 重复步骤 2), 直至小数部分为 0 或者满足预定精度要求为止, 并且每进行一次 乘法都要保留相应的整数部分 4) 将保留下来的整数部分转换成 R 进制的数码, 并按照和运算过程相同的顺序排 列起来, 得到小数 M 所对应的 R 进制数 例.3.4 将十进制小数 ( ) 0 转换成二进制数小数 解 : 按照十进制小数转换成二进制小数的方法, 对基数 2 进行连乘并取整数 =.25 整数 高 =0.250 整数 =0.50 整数 =.00 整数 低 对连乘所得的整数按照从高到低的顺序排列, 可得 :( ) 0 = ( 0.00) 2 例.3.5 将十进制数 ( 8.48) 0 转换成二进制数小数 ( 要求精确到小数点后 3 位 ) 解 : 该十进制数既有整数部分又有小数部分, 因此对于整数部分采取连除取余数 法进行转换, 对小数部分采取连乘取整数法进行转换, 然后合并起来即可得到所求的结果 2 8 余 0 b 0 低 2 9 余 b 2 4 余 0 b 余 0 b 3 2 余 高 ( 8) = ( 000) = 0.96 整数 0 高 =.92 整数 =.84 整数 低 ( 0.48) = ( 0.0) 0 2 将转换后的整数部分和小数部分合并, 可得 :( 8.48) 0=( 000.0) 非十进制之间的转换 进制数的转换不仅仅指进行十进制数与非十进制的相互转换, 在二进制 八进制 和十六进制这些非十进制数之间也经常要相互转换, 不同进制数之间的关系如表.3. 所示 b 4

17 第 章数制与码制 7 表.3. 不同进制数的关系 十进制 二进制 八进制 十六进制 十进制 二进制 八进制 十六进制 C D E F. 二进制数与八进制数相互转换 二进制数转换为八进制数时, 整数部分从右往左开始, 每 3 位分成一组, 最后剩余 不足 3 位时可在左边补齐 0; 小数部分从左往右, 每 3 位一组, 最后剩余不足 3 位时在 右边补 0, 使其补齐 3 位 ; 最后将整数部分和小数部分的每一组都用等价的八进制数替 换就得到所对应的八进制数 例.3.6 将二进制数 ( ) 2 转换为八进制数 解 : 将二进制数整数部分从右往左开始, 每 3 位分成一组, 小数部分从左往右, 每 3 位一组, 最后剩余不足 3 位时补 0 凑成 3 位, 然后用相应的八进制数替换 补齐 3 位补齐 3 位 二进制 八进制由上可得 :( )( 2= ) 8 八进制数转换为二进制数时, 把八进制数的每一位数都转化为 3 位二进制数即可, 其中最高位和最低位的 0 都可以省略 例.3.7 将八进制数 ( 63.27) 8 转换为二进制数 解 : 把八进制数的每一位数都转化为 3 位二进制数即可 八进制 二进制由上可得,( 63.27) 8=( 00.00) 2 2. 二进制数与十六进制数相互转换 二进制数转换为十六进制数与二进制数转换为八进制数类似, 整数部分从右往左开始, 每 4 位分成一组, 最后剩余不足 4 位时可在左边补齐 0; 小数部分从左往右, 每 4 位一组, 最后剩余不足 4 位时在右边补 0, 使其补齐 4 位 ; 最后将整数部分和小数部分的每一组都用等价的十六进制数替换就得到所对应的十六进制数 例.3.8 将二进制数 ( 000.0) 2 转换为十六进制数 解 : 将二进制数整数部分从右往左开始, 每 4 位分成一组, 小数部分从左往右, 每 科学出版社职教技术出版中心

18 8 数字电子技术 4 位一组, 最后剩余不足 4 位时补 0 凑成 4 位, 然后用相应的十六进制数替换 补齐 4 位补齐 4 位 D. 6 二进制 十六进制 由上可得 :( 000.0)( 2= 5D.6) 6 十六进制数转换为二进制数时, 把十六进制数的每一位数都转化为 4 位二进制数即 可, 其中最高位和最低位的 0 都可以省略 例.3.9 将十六进制数 ( 4.2D) 6 转换为二进制数 解 : 4. 2 D 十六进制 二进制 由上可得 :( 4.2D) 6=( ) 2.4 原码 反码和补码 在十进制数中, 有符号数用符号 + 表示正数, 用符号 表示负数 在计算机系统中, 有符号数可分为符号位和数值位两部分 有符号数的最高位作为符号位, 用 0 表示 +, 用 表示, 其余为数值位部分. 机器数和真值在计算机系统中, 把由符号位和数值位构成的一个数称为机器数, 而数值位部分称为机器数的真值 例如,X = + 000, 机器数为 0000, 真值为 000;X 2 = 000, 机器数为 000, 真值为 原码原码是计算机对有符号数采用二进制表示的一种方法, 由符号位和数值位组成 原码的最高位作为符号位,0 表示正数, 表示负数 数值位表示数值绝对值的大小, 绝对值的编码规则与前面讲的无符号数编码规则相同 常见的 8 位有符号数的数值范围是 28~ + 27,6 位有符号数的数值范围 ~ 当运算结果超出可表示的有符号数的范围时, 就会发生溢出, 使计算结果出错 例如,X = ,X 2 = 0000, 则 X 原码是 [X ] 原 = 00000,X 2 对应的原码是 [X 2 ] 原 =0000 在原码表示方法中,0 有两种不同的值, 即 [ + 0] 原 = ,[ 0] 原 = 反码反码也是计算机对有符号数采用二进制表示的一种方法, 符号位与原码的符号位表示方法一样, 对于正数, 符号位为 0; 对于负数, 符号位为 反码的数值部分则不同, 其值变化与它的符号位有关 对于正数, 反码的数值部分和原码数值部分相同 ; 对于负

19 第 章数制与码制 9 数, 反码的数值部分是原码的数值部分按位取反 ( 即 变 0,0 变 ) 例如,X = ,[X ] 反 = ,X 2 = 0000,[X 2 ] 反 = 000 由于 0 的原码有两种不同的值, 因此 0 的反码也有两种不同的值, 即 [+0] 反 = , [ 0] 反 = 4. 补码 二进制数的算术运算包含加 减 乘 除 4 种运算, 计算机在执行乘法和除法运 算时, 实际上都是通过做加减法运算来实现的 当设计做减法运算的电路时, 必须先比较两个数绝对值的大小, 再将绝对值大的数减去绝对值小的数, 最后在相减的结果前面加上绝对值较大的数的符号 减法运算逻辑电路复杂, 运算速度也比做加法运算慢得多 为了能使加 减法运算统一用加法运算来实现, 人们通过补码来表示有符号的数值 补码的引入使得两个数无论相加还是相减, 均可通过加法运算来实现 因此, 计算机中一切有符号数都是以补码的形式参与算术运算的 补码也是一种二进制数码, 又称为 对 2 的补数, 其表示方法与该数是正数还是负数有关 正数的补码与该数的原码和反码是一样的, 对于负数, 求补码的方法是 : 符号位不变, 数值部分按位对原码取反, 然后在最低位加, 通常简称为 取反加 例如,X =+0000,[X ] 补 = ;X 2 =-0000,[X 2 ] 补 =00 在补码表示法中,[+ 0] 补 = ,[-0] 补 = [-0] 反 + = + = , 舍弃进位后,[-0] 补 = 由此可见,0 具有唯一的补码 [0] 补 = 例.4. 求二进制数 X =+0000 和 X 2 = 0000 对应的原码 反码和补码 解 : 对于原码 反码和补码来说, 最高位都是符号位, 其他位则与数值部分有关 如果该数为正数, 则对应的原码 反码和补码按位相同 如果该数为负数, 则反码是原码的按位取反, 补码则是原码的按位取反加 因此, 二进制数 和 0000 所对应的原码 反码和补码分别表示如下 : [X ] 原 =00000, [X ] 反 =00000, [X ] 补 =00000 [X 2 ] 原 =0000, [X 2 ] 反 =000, [X 2 ] 补 =00 5. 有符号数的加减运算 通过采用补码来表示有符号数, 可以把两个数的加 减法运算统一为加法运算, 即把减法运算通过用 [] 补 +[ ] 补的方法实现, 因此机器在进行运算时符号位一律按加法参与运算 如果计算的结果产生了进位, 则把进位丢弃, 保留符号位和数值位即可 例.4.2 计算 X =36+45,X 2 =36 45 和 X 3 = 的结果 解 : 对于有符号数的算术运算, 采取补码进行运算, 因此先算出各个数的补码, 再按补码运算方法进行计算 )X = 科学出版社职教技术出版中心

20 0 数字电子技术 [ + 36] + [ + 45] [ X ] 补 补 补 由结果可知,[X ] 补 = 是正数, 因此 [X ] 原 = [X ] 补 = 00000, 对应的十进制数为 X = 8 2)X 2 = [ + 36] + [ 45] [ X ] 补 补 2 补 由结果可知,[X 2 ] 补 = 0 是负数, 因此对其进行求补运算得到原码 X 2 : [X 2 ] 原 = {[X 2 ] 补 } 反 + = = 00000, 对应的十进制数为 X 2 = 9 3)X 3 = [ 36] + [ 45] [ X ] 补 补 3 补 由结果可知, 舍弃进位后,[X 3 ] 补 = 00 是负数, 因此对其进行求补运算得到原码 X 3 :[X 3 ] 原 = {[X 3 ] 补 } 反 +=00000+=0000, 对应的十进制数为 X 3 = 8 采用补码表示的符号数经过运算后得到的结果仍然是补码的形式, 并非该数值的原码 如果运算结果的符号位为 0, 则代表结果是正数, 而正数的原码和补码是相同的 如果运算结果的符号位为, 则代表结果是负数, 而负数的补码与原码不同, 因此需要对运算结果进行一次求补运算才能得到该数值对应的原码 注意 : 当两个同符号数采用补码进行相加运算时, 它们的绝对值之和不能超过有效数值位所能表示的最大值, 否则会产生溢出, 得到错误的计算结果.5 码制 在数字系统中, 常用 0 和 的组合来表示不同的数字 符号 事物或状态, 这个过程称之为编码 编码过程中所使用的 0 和 的各种组合就是代码, 编制代码所遵循的规则就称为码制 此时的代码不用于表示数值大小, 而用于代表不同的事物或事物的不同状态 代码可分为数字型代码和字符型代码 数字型代码用来表示数字的大小, 又分为有权码和无权码 字符型代码用来表示不同的符号 事物 动作或状态 下面将介绍几种常见的二进制代码.5. CD 码在日常生活中, 人们最熟悉的数制是十进制数, 计算机处理数据时采用的都是二进制数, 因此对于非专业技术人员来说, 了解二进制与十进制的关系存在困难 为了

21 第 章数制与码制 方便人们理解二进制码和十进制码的关系, 人们提出了一种二进制代码的特殊形式 : CD 码 CD 码 (inary Coded Decimal) 是一种用 4 位二进制数表示 位十进制数码的编 码方式 CD 码共有 4 位二进制数, 代表 位 0~9 的十进制数 由于 4 位二进制数码 有 6 种组合, 因此可从 6 种组合中选出 0 种组合作为 CD 码 不同 CD 码所对应 的编码规则不同, 如果某种 CD 码的每一位都拥有固定的 权值, 则称这种 CD 码 为有权 CD 码, 否则称为无权 CD 码 表.5. 列出了常见的几种 CD 码 表.5. 几种常见的 CD 代码 十进制数 842 码 542 码 242 码 余 3 码 CD 码 842 CD 码是最基本和最常用的有权 CD 码, 从高位到低位的权值分别为 8 4 2, 因此属于有权码 将各二进制位与权值相乘, 并将乘积相加就得到相应的十进 制数 842 CD 码从 4 位自然二进制码中选用了前 0 组代码, 即用 0000~00 分别 代表它所对应的十进制数, 而剩下的 00~ 的 6 组代码不属于 842 CD 码 CD 码 542 CD 码从高位到低位的权值分别为 5 4 2, 属于有权 CD 码 将各二 进制位与权值相乘, 并将乘积相加就得相应的十进制数 542 CD 码的编码方案不 是唯一的, 表.5. 中只列出了一种编码方案 542 CD 码显著特点是最高位连续 5 个 0 后连续 5 个, 因此当计数器采用这种 编码方式时, 最高位可产生对称方波输出 CD 码 242 CD 码属于有权 CD 码, 从高位到低位的权值分别为 将各二 进制位与权值相乘, 并将乘积相加就得相应的十进制数 242 CD 码的编码方案也 不是唯一的, 表.5. 中只列出了一种编码方案 科学出版社职教技术出版中心 CD 码的 0 个数码中,0 和 9 和 8 2 和 7 3 和 6 4 和 5 的代码互为反

22 2 数字电子技术 码, 即 242 CD 码所表示的数, 只要自身按位取反, 就能得到另一个 242 CD 码 4. 余 3 码余 3 码是在 842 CD 码的基础上, 把每个数的代码加上 00( 对应十进制数 3) 后得到的 余 3 码各位无固定的权值, 因此属于无权码.5.2 可靠性编码代码在数字系统传输的过程中, 由于信道畸变或者外界因素干扰可能导致代码传输出错 为了尽量减少发生错误的可能, 或者出错后容易被发现, 甚至查出错误的码位后能予以纠正, 人们采用可靠性编码以提高系统的可靠性 下面介绍两种常用的可靠性编码. 格雷码格雷码属于可靠性编码, 是一种错误最小化的编码方式, 典型的格雷码如表.5.2 所示 格雷码的特点是相邻的两个代码之间只有一位二进制位不同, 因此它在任意两个相邻数间转换时, 只有一位产生变化, 这样与其他编码同时改变两位或多位的情况相比更为可靠, 减少了出错的可能性 表.5.2 典型的格雷码 十进制数 二进制数 格雷码 十进制数 二进制数 格雷码 格雷码不但在任意两个相邻的数之间转换时只有一个数位发生变化, 而且在最大数与最小数之间也仅有一个数位不同, 因此格雷码又称为循环码 2. 奇偶校验码奇偶校验码是一种用来检验码元在传送过程中是否产生错误的代码, 它由信息位和奇偶校验位两部分组成 信息位是一组位数不限的二进制代码, 代表传送的信息 ; 奇偶校验位仅有一位, 用来检查所传输的码元是否出错, 可放在信息位的前面, 也可放在信息位的后面 奇偶校验码编码方式有奇校验和偶校验两种 奇校验是使信息位和校验位中 的个数共计为奇数个, 如果接收端检测到接收数据中 的个数不是奇数, 则说明数据传输出错 偶校验是使信息位和校验位中 的个数共计为偶数个, 如果接收端检

23 第 章数制与码制 3 测到接收数据中 的个数不是偶数, 则说明数据传输出错 表.5.3 给出了 842 CD 奇偶校验码 表 CD 奇偶校验码 十进制数 带奇校验的 842 CD 码 带偶校验的 842 CD 码 信息位校验位信息位校验位 奇偶校验码具有编码简单 容易实现的优点, 得到了广泛的应用 但奇偶校验码检 错能力有限, 只能发现代码的奇数个数位出错, 不能发现偶数个数位出错, 并且奇偶校验码只有检错能力, 而没有纠错能力.5.3 字符代码 计算机处理的对象不仅有数字, 还有字母 符号等, 这些处理对象统称为字符 字符在计算机中同样是以二进制代码存在的, 因此需要二进制码与之相对应 通常, 把用于表示各个数字 字母和符号的二进制代码称为字符代码 字符代码种类较多, 在计算机中广泛使用的是美国信息交换标准代码 (merican Standard Code for Information Interchange,SCII) 标准 SCII 码是一组 7 位二进制代码, 共 28 个不同代码 表.5.4 给出了标准 SCII 码的组合 低 4 位代码 ( 4a3a2a 表.5.4 SCII 码表 高 3 位代码 ( aaa ) a ) NUL DLE SP P ` p 000 SOH DC! Q a q 000 STX DC2 2 R b r 00 ETX DC3 # 3 C S c s 000 EOT DC4 $ 4 D T d t 00 ENQ NK % 5 E U e u 00 CK SYN 6 F V f v 0 EL ET 7 G W g w 科学出版社职教技术出版中心

24 4 数字电子技术 续表 低 4 位代码 高 3 位代码 ( aaa ) ( 4a3a2a a ) S CN ( 8 H X h x 00 HT EM ) 9 I Y i y 00 LF SU * : J Z j z 0 VT ESC + ; K [ k { 00 FF FS, < L ] l 0 CR GS - = M \ m } 0 SO RS. > N ^ n ~ SI US /? O _ o DEL SCII 码已成为微型计算机标准输入 输出编码, 用于计算机与计算机 计算机与打印机 键盘与视频显示等外部设备之间传输字符数字信息, 操作人员由计算机键盘输入的信息在计算机内部的存储也使用 SCII 码.6 实践案例 SCII 码的应用 SCII 是基于拉丁字母的一套计算机编码系统, 主要用于显示现代英语和其他西欧语言, 是现今最通用的单字节编码系统 SCII 码是标准的单字节字符编码方案, 使用指定的 7 位或 8 位二进制数组合来表示 28 或 256 种可能的字符 标准 SCII 码使用 7 位二进制数来表示所有的大写和小写字母, 数字 0~9 标点符号, 以及在美式英语中使用的特殊控制字符 扩展 SCII 码允许将每个字符的第 8 位用于确定附加的 28 个特殊符号字符 外来语字母和图形符号 SCII 码大致可以分为 3 部分 :SCII 码非打印控制字符 SCII 码打印字符和扩展 SCII 码打印字符 SCII 码非打印控制字符 :SCII 码表上的数字 0~3 分配给了控制字符, 用于控制 ( 如打印机等 ) 一些外围设备 例如,2 代表换页 / 新页功能, 此命令指示打印机跳到下一页的开头 ( 参见 SCII 码表中 0~3) SCII 码打印字符 :SCII 码表上的数字 32~26 分配给了能在键盘上找到的字符, 当查看或打印文档时就会出现, 数字 27 代表 DELETE 命令 ( 参见 SCII 码表中 32~27) 扩展 SCII 打印字符 : 扩展 SCII 码包含标准 SCII 码表中已有的 28 个字符, 又增加了 28 个字符, 用于满足人们对更多字符的需求 小 结 在用数码表示数量大小时, 采用的各种计数进位制规则称为数制 常用数制有十进制 二进制 八进制 十六进制 本章介绍了各种常用数制互相转换的方法 由于数字电路的基本运算都采用二进制运算, 所以本章介绍了二进制数的符号在数

25 第 章数制与码制 5 字电路中的表示方法, 原码 反码和补码的概念, 以及采用补码进行带符号数加法运算的原理 在用数码表示不同事物时, 这些数码已没有数量大小的含义, 所以将它们称为代码 本章所列举的 CD 码, 格雷码 SCII 码等是几种常见的通用代码 此外, 我们可根据自己的需要, 自行编制专用代码 思考题与习题. 数字信号和模拟信号各有什么特点? 2. 计算机中常用的数制有哪些? 如何进行数制之间的转换? 3. 将下列非十进制数转换为十进制数 ()(0.0) 2 ;(2)(63.2) 8 ;(3)(3.C8) 6 4. 将下列十进制数分别转化为二进制数 八进制数和十六进制数 ()5.32;(2) 将下列二进制数转换为八进制数和十六进制数 ()(000.00) 2 ;(2)( ) 2 6. 写出下列有符号数所对应的原码 反码和补码 ()(+00) 2 ;(2)( 0) 2 7. 写出下列补码所对应的原符号数 ()00000;(2)000;(3)000;(4) 用 8 位的二进制数补码表示下列十进制数 ()+28;(2) 3 9. 用二进制补码完成以下各式的运算 ()4+8;(2)4 8;(3) 4+8;(4) 写出下列数所对应的 842 CD 码 余 3 码和 542 CD 码 ()67.32;(2)84.5. 将下列 842 CD 码转换成十进制数 () ;(2) 求下列二进制数据的奇校验位 ()000;(2)00000;(3) 科学出版社职教技术出版中心

26 第 2 章逻辑代数 内容提要本章主要学习一些逻辑分析和设计的基本规则 首先介绍逻辑代数的公式和定理, 然后讲述逻辑函数及其表示方法, 最后介绍如何运用这些公式和定理进行逻辑函数的化简 本章的主要内容可为今后实际工作中分析和设计数字电路打下良好的基础 基本教学要求. 掌握 3 种最基本的逻辑运算 2. 掌握逻辑代数的基本定理 3. 理解逻辑函数和各种表示方法 4. 掌握逻辑函数的化简方法 2. 逻辑代数基础知识 2.. 逻辑代数的运算逻辑代数也称布尔代数, 其得名于英国数学家乔治 布尔 (George oolean), 他于 9 世纪提出了这个逻辑系统 布尔代数是一种把事物的逻辑关系用数学公式表示出来的方法, 布尔代数被广泛地应用于解决开关电路和数字逻辑电路的分析和设计上 本章所介绍的逻辑代数就是布尔代数在二值逻辑电路中的应用 逻辑代数中也用字母表示变量, 这种变量称为逻辑变量 在二值逻辑中, 每个逻辑变量的取值只能是 和 0, 分别代表事物的真和假两种不同的逻辑状态. 逻辑代数的 3 种基本运算逻辑代数的 3 种基本运算分别是与 或 非 () 与运算决定事物结果的所有条件同时具备时, 结果才发生, 这种因果关系称为逻辑与 其运算符用 表示 在如图 2.. 所示的电路图中, 两只开关 和一盏灯 L 串联到电源上, 只有两只开关全部闭合时, 灯 L 才会亮 若以 表示开关的状态, 表示开关的闭合, L 0 表示开关断开 ; 以 L 表示灯的状态, 以 表示灯亮, 以 0 表示不亮, 则可以得出如表 2.. 所示的表格, 称图 2.. 用于说明与逻辑运算的电为逻辑真值表, 简称真值表 路图 和 进行与逻辑运算, 可以用逻辑表达式写成 L= (2..)

27 第 2 章逻辑代数 7 把实现与逻辑运算的单元电路称为与门, 其国标逻辑符号如图 2..2 所示 表 2.. 与逻辑运算真值表 L L 0 0 图 2..2 与逻辑运算的逻辑符号 (2) 或运算决定事物结果的几个条件中, 只要有一个或者一个以上条件具备时, 结果就会发生, 这种因果关系称为逻辑或 其运算符用 + 表示 在如图 2..3 所示的电路图中, 两只开关 并联后与一盏灯 L 串联到电源上, 两只开关中有一个或者两个闭合时, 灯 L 都会亮 若以 表示开关的状态, 表示开关的闭合,0 表示开关断开 ; 以 L 表示灯的状态, 以 表示灯亮, 以 0 表示不亮, 则可以得出其真值表, 如表 2..2 所示 L 图 2..3 用于说明或逻辑运算的电路图 表 2..2 或逻辑运算真值表 L 和 进行或逻辑运算, 可以用逻辑表达式写成 L=+ (2..2) 把实现或逻辑运算的单元电路称为或门, 其国标逻辑符号如图 2..4 所示 (3) 非运算决定事物结果的条件不具备时, 结果才发生, 这种 L 因果关系称为逻辑非 其运算符用 表示 在如 图 2..5 所示的电路图中, 一只开关 和一盏灯 L 并联到电源上, 当开关打开时, 灯 L 才会亮 图 2..4 或逻辑运算的逻辑符号若以 表示开关的状态, 表示开关的闭合,0 表示开关断开 ; 以 L 表示灯的状态, 以 表示灯亮, 以 0 表示不亮, 则可以得出如表 2..3 所示的真值表 R 图 2..5 用于说明非逻辑运算的电路图 L 表 2..3 非逻辑运算真值表 科学出版社职教技术出版中心 L 0 0

28 8 数字电子技术 进行非逻辑运算, 可以用逻辑表达式写成 L= (2..3) 把实现非逻辑运算的单元电路称为非门, 其国标逻辑符号如图 2..6 所示 L 图 2..6 非逻辑运算的逻辑符号 2. 逻辑代数的复合运算 实际的逻辑问题往往比与 或 非复杂得多, 但任何复杂的逻辑运算都可以由这 3 种基本逻辑运算组合而成, 最常见的复合逻辑运算有与非 或非 异或 同或 与 或非等 () 与非 与非运算由与运算和非运算组合而成 真值表如表 2..4 所示, 逻辑符号如图 2..7 所示 其逻辑表达式为 表 2..4 与非逻辑运算真值表 L= (2..4) L L 0 0 图 2..7 与非逻辑运算的逻辑符号 (2) 或非 或非由或运算和非运算组合而成 其真值表如表 2..5 所示, 逻辑符号如图 2..8 所 示 逻辑表达式为 表 2..5 或非逻辑运算真值表 L =+ (2..5) L L 图 2..8 或非逻辑运算的逻辑符号 (3) 异或 异或逻辑关系 : 当两个输入相同时, 输出为 0; 当两个输入不同时, 输出为 其 真值表如表 2..6 所示, 其逻辑符号如图 2..9 所示 其逻辑表达式为

29 表 2..6 异或逻辑运算真值表 L 第 2 章逻辑代数 9 L = =+ (2..6) = L 图 2..9 异或逻辑运算的逻辑符号 (4) 同或同或逻辑关系 : 当两个输入相同时, 输出为 ; 当两个输入不同时, 输出为 0 其真值表如表 2..7 所示, 其逻辑符号如图 2..0 所示 其逻辑表达式为 L= = =+ (2..7) 表 2..7 同或逻辑运算真值表 L = L 0 0 图 2..0 同或逻辑运算的逻辑符号 (5) 与或非 与或非逻辑关系 : 之间以及 C D 之间都是与逻辑关系, 只要 或者 C D 任何一组输入同时为高电平, 输出就为低电平 ; 当 或者 C D 两组输入任何一 组都不全是高电平时, 输出为高电平 其真值表如表 2..8 所示, 其逻辑符号如图 2.. 所示 其逻辑表达式为 (2..8) L = +C D 表 2..8 与或非逻辑运算真值表 C D L 科学出版社职教技术出版中心

30 20 数字电子技术 续表 C D L C D L 图 2.. 与或非逻辑运算的逻辑符号 2..2 逻辑代数的基本公式和定律. 常用基本公式和定律 逻辑代数有一系列的定律 定理和规则, 用它们对数学表达式进行处理可以完成对逻辑电路的化简 变换 分析和设计 根据与 或 非 3 种基本逻辑运算法则, 可以推导出常用的基本公式和定律, 如表 2..9 所示 表 2..9 逻辑代数的基本公式和定律 名称公式 公式 2 = 0- 律 = = + = 重叠律 = + = 互补律 Α =0 + = 交换律 = + = + 结合律 ( C)( = ) C ( + ) + C = + ( + C) 分配律 ( + C) = + C + C = ( + )( + C) 反演律 = Α+ Β + = 还原律 = ( + )= 吸收律 ( + )( + C) = + C 常用恒等式 ( + )( + C)( + C)( = + )( + C) + = + = + + C+ C = + C + C+ CD= + C 2. 基本公式和定律的证明 表 2..9 所列出的逻辑代数的基本公式和定律, 可以用真值表的方法来证明, 列出

31 第 2 章逻辑代数 2 等式左边与右边函数的真值表, 如果等式两边的真值表相同, 则说明等式成立 常用的恒等式也可以用其他基本的公式和定律加以证明 在这些公式和定律中, 反演律具有重要意义, 其也称为摩根定律, 在逻辑函数的化简和变换中常用到这一对定律 例 2.. 证明反演定律 = + + = 成立 证明 : 将变量所有取值的组合列成真值表, 如表 2..0 所示, 可以看出, 的 4 种取值组合都使等式两边相等, 因此, 这两个表达式成立 表 2..0 反演定律的真值表 例 2..2 证明常用恒等式 +C+C=+ C 成立 证明 : +C+C=+C+( +) C = +C +C +C =( +C) +C( +) = +C 2..3 逻辑代数的 3 个基本定理. 代入定理 在任何一个含有变量 的逻辑等式中, 以另外一个逻辑式取代等式两端所有的逻辑变量, 等式依然成立, 这就是代入定理 利用代入定理可以很容易把表 2..9 中的公式扩展成多变量的形式 例如, 反演定理 =+, 若用 C 代替等式中的, 则 ( C) = +C = + + C, 以此类推, 反演定律对于任意多个变量都成立 2. 反演定理 对于任意逻辑函数表达式 L, 如果将其中的 变成 +, + 变成, 变成 0, 0 变成, 原变量变成反变量, 反变量变成原变量, 则所得到的结果就是 L 这个规律就称为反演定理, 且称 L 是 L 的反函数 利用反演定理可以很方便地求出一个函数的反函数 在运用反演定理时注意以下两个规则 ) 保持原有的运算优先级顺序, 即先括号, 再乘积, 最后算加法 2) 不属于单个变量上的非号保持不变 例 2..3 已知 L=+ C, 求 L 解 : 根据反演定理可写出 : 科学出版社职教技术出版中心

32 22 数字电子技术 L=( +C) 例 2..4 已知 L=+C+ D, 求 L 解 : 根据反演定理可写出 : 3. 对偶定理 L=( +) C D 对偶式的定义 : 对于任意逻辑函数表达式 L, 如果将其中的 变成 +, + 变成, 变成 0, 0 变成, 则所得到的新的逻辑函数式就是 L 的对偶式, 用 L' 表示, 且称 L' 是 L 的对偶式 在变换时仍需注意保持原有的运算优 先级顺序 当某个逻辑等式成立时, 它们的对偶式也成立, 这就是对偶定理 例如, L=+( +C), 则 L' =( +) C 利用对偶定理, 可从已知公式中得到更多的运算公式, 例如, 吸收律 + = + 成立, 它的对偶式 ( +) =也是成立的 2.2 逻辑函数及其表示方法 2.2. 逻辑函数 若以逻辑变量作为输入, 以运算结果作为输出, 那么当输入变量的取值确定以后, 输出的取值也随之确定, 因此输出和输入之间是一种函数关系 这种函数关系称为逻辑函数, 写为 Y = F(,,,) C L 任何一个具体的因果关系都可以用一个逻辑函数来描述 例如,3 个人表决一件事情, 结果按 少数服从多数 的原则决定 将 3 个人的意见设置为输入变量 C, 表决结果设置为 Y, 当输入逻辑变量 C 的值确定后, 输出逻辑变量 Y 的值也就唯一确定了, 即 Y 是 C 的逻辑函数, 可以得到输出的逻辑函数为 Y = F(,,) C 逻辑函数的表示方法 逻辑函数的常用表示方法有逻辑真值表 ( 简称真值表 ) 逻辑函数式( 也称逻辑式或函数式 ) 逻辑图和卡诺图等 下面来介绍前 3 种方法, 用卡诺图表示逻辑函数的方法在后面章节做专门介绍. 逻辑真值表 将输入变量的所有取值下对应的输出值找出来, 列成表格, 也就得到了真值表 仍以 3 人表决为例, 对于输入变量 C, 设表示同意时对应取值为, 不同意时取值为 0; 对于输出变量 Y, 当事情通过时其取值为, 没通过时取值为 0, 则 Y 与 C

33 第 2 章逻辑代数 23 的逻辑关系可以用表 2.2. 表示 2. 逻辑函数式 逻辑函数式是用与 或 非等运算组合起来, 表 示逻辑函数与逻辑变量之间关系的逻辑代数式 由表 2.2. 的真值表可知, 当输出变量 Y 取值为 时, 凡输入变量 C 对应值是, 则输入变量 C 用原变量表示, 对应值是 0 时用反变量表示, 所以其对应的逻辑函数表达式为 Y =C +C +C + C 对此函数进行化简可得 Y =+C+ C 表 2.2. 真值表 C Y 逻辑图 将逻辑函数中各变量之间的与 或 非等逻辑关系用图形符号表示出来, 就得到了表示逻辑函数关系的逻辑图 根据 3 人表决的逻辑函数式, 可以画出相应的逻辑图, 如图 2.2. 所示 以上不同的表示方法所描述的是同一逻辑关系, 因此它们之间可以从一种表示方法得到其他表示方法 C 图 2.2. 逻辑图 Y 逻辑函数的两种标准形式 逻辑函数的两种标准形式是 最小项之和 及 最大项之积 下面先来介绍最小项和最大项的概念, 再来介绍逻辑函数的两种标准形式. 最小项和最大项 () 最小项 n 个变量的逻辑函数的最小项是包含 n 个变量的乘积项, 每个变量均以原变量或反变量的形式在这个乘积项中存在, 且仅出现一次 一般 n 个变量的最小项应有 2 n 个 例如, C 3 个变量的最小项有 8 个, 分别是 C C C C C C C C 为了以后使用最小项方便, 对最小项进行了编号 例如, 在 3 个变量 C 的最小项中, 当 = = C = 0 时, C = 如果把 C 的取值 0 看作一个二进制数, 那么它对应的十进制数就是 6, 把 C 这个最小项记作 m 6 按此原则,3 个变量的最小项编号表如表 所示 科学出版社职教技术出版中心

34 24 数字电子技术 表 个变量最小项的编号表 最小项 使最小项为 的变量取值 C 对应的十进制数 编号 C m 0 C 0 0 m C m 2 C 0 3 m 3 C m 4 C 0 5 m 5 C 0 6 m 6 C 7 m 7 为了分析最小项的性质, 可以列出 3 个变量 C 所有最小项的真值表, 如 表 所示 表 个变量最小项真值表 变量 m 0 m m 2 m 3 m 4 m 5 m 6 m 7 C C C C C C C C C 从表 可以看出, 最小项具有以下性质 : ) 在输入变量的任意取值下, 有且仅有一个最小项的取值为 2) 任意两个最小项的乘积为 0 3) 全体最小项之和为 4) 具有相邻性的两个最小项之和可以合并成一项并消去一对因子 若两个最小项仅有一个因子不同, 则称它们具有相邻性, 也称逻辑相邻, 简称相邻 项 例如,3 个变量 C 的最小项 C C, 这两个最小项仅第一个因子不同, 它们就是相邻的 这两个最小项相加时就可以消去一对因子 : (2) 最大项 C +C=( +) C =C n 个变量的逻辑函数的最大项是包含 n 个变量的或项, 每个变量均以原变量或反变 量的形式在这个或项中存在, 且仅出现一次 一般 n 个变量的最大项应有 2 n 个 例如, C 3 个变量的最大项有 8 个, 分别是 ++ C ++ C ++ C

35 第 2 章逻辑代数 C ++ C ++ C ++ C ++ C 在对最大项进行编号时采用使最大项为 0 的取值 例如, 当 3 个变量 C 的 最大项 ++C= 0 时, 则变量 C 的取值是 = = C= 如果把 C 的取值 看作一个二进制数, 那么它对应的十进制数就是 7, 把 ++ C这个最大 项记作 M 7 按此原则,3 个变量的最大项编号表如表 所示 表 个变量最大项的编号表 最大项 使最大项为 0 的变量取值 C 对应的十进制数 编号 + + C M C 0 0 M + + C M C 0 3 M C M C 0 5 M C 0 6 M C 7 M 7 根据最大项的定义, 可以得出最大项具有以下性质 : ) 在输入变量的任意取值下, 有且仅有一个最大项的取值为 0 2) 任意两个最大项之和为 3) 全体最大项之积为 0 4) 只有一个变量不同的两个最大项的乘积等于各相同变量之和 将表 和表 比较可以得出, 最大项和最小项之间存在如下关系 : M i = mi (2.2.) 例如, m 7 = C, m 7 =C = + +C = M 7 2. 逻辑函数的最小项之和形式 利用基本公式 + = 可以把任何一个逻辑函数化为最小项之和的标准形式 这种标准形式在逻辑函数的化简中得到广泛的应用 例如, 给定的逻辑函数为 Y =C + C, 则可化为 Y =C +( +) C =C +C +C =m5 +m6 +m7 = m( i i=567,,) i 例 2.2. 将逻辑函数 Y =+ C展开为最小项之和的形式 解 : Y = C =( +C) =+ C =( C+C) +C =C + C 科学出版社职教技术出版中心

36 26 数字电子技术 = m( i i=67,) i 3. 逻辑函数的最大项之积形式 上面已经证明任何一个逻辑函数都可化为最小项之和的形式, 同时从最小项的性质又知道全部最小项之和为, 因此可以得出, 若给定逻辑函数为 Y = mi, 则 mi 以 外的那些最小项之和必为 Y, 即 可以得到 k i k i Y = m (2.2.2) Y = m (2.2.3) k i 利用反演定律可将上式转换为最大项乘积的形式, 即 Y = 这就说明, 如果已知逻辑函数为 Y = 些最大项之积 任何一个函数都可以化成最大项之积的形式 k i k i m k k = M (2.2.4) i m i k, 则一定能将 Y 转化成编号为 i 以外的那 例 将逻辑函数 Y =C + C 转化成最大项之积的标准形式 解 : 前面已经得到其最小项之和的形式为 Y = m( i=467,,) 根据式 (2.2.4) 得 = M = M M M M M Y k k i i i =( ++C)( ++C)( ++C)( ++C)( ++C) 2.3 逻辑函数的公式化简法 i 同一个逻辑函数可以写成不同的逻辑表达式, 这些逻辑表达式的繁简程度也相差甚远 逻辑表达式越简单, 说明所表示的逻辑关系越简单, 那么使用的电子元器件就少, 对应的逻辑电路也越简单 因此, 通常需要把逻辑函数化简成最简形式 常用的化简方法有两种, 分别是公式化简法和卡诺图化简法 本节介绍公式化简法 2.3. 逻辑函数的最简形式一个逻辑函数可以有不同的逻辑表达式, 最常用的是以 与 - 或 的形式给出的 逻辑函数表达式由几个乘积项相加而成, 这种形式的逻辑式称为与 - 或逻辑式 在与 - 或逻辑式中, 其中所包含的与项最少, 且每个与项中变量数最少的表达式称为最简与 - 或表达式

37 第 2 章逻辑代数 27 与 - 或逻辑式易于转换成其他类型的函数式 常用的逻辑式主要有以下 5 种形式 : 公式化简法 Y =+ C 与 - 或表达式 = C 与非 - 与非表达式 =( +)( +C) 或 - 与表达式 =( +)( + +C) 或非 - 或非表达式 =+ C 与 - 或 - 非表达式 公式化简法利用逻辑函数的基本公式和常用公式消去函数中多余的乘积项和多余 的因子, 最后得出逻辑函数的最简形式 其没有固定步骤, 具体方法如下. 并项法 利用公式 +=, 将两项合并成一项, 消去一个变量 例 2.3. 化简下列逻辑函数 () Y =C + C (2) Y 2 =C +C +C + C 解 :() Y =( C+C) = (2) Y 2 =C( +) +C( +) =C ( ) +C ( ) =C ( ) +C ( ) = C 2. 吸收法 利用公式 + =, 将 项消去 根据代入规则, 也可以是任何一个复 杂的逻辑式 例 试用吸收法化简逻辑表达式 解 :Y =+( C+C+D) = 3. 消去法 Y =+C+( C+D) 利用公式 + = +, 将 消去 例 试用消去法化简逻辑表达式 解 :Y = + ( + ) C = + C = + C Y = + C+ C 科学出版社职教技术出版中心

38 28 数字电子技术 4. 配项法 利用公式 +=, 增加必要的乘积项, 再用其他方法使项数减少 例 试用配项法化简逻辑表达式 解 :Y =+C+( +) C 5. 综合法 = +C +C + C =( +C)( + C +C) =+ C Y =+C+ C 通常在逻辑函数的化简时, 需要综合使用以上方法, 才能得到最简的化简结果 例 化简逻辑表达式 Y=++ C 解 :Y=( +) +C =+ C ( 利用 + = ) =+ C ( 利用 + = + ) 例 化简逻辑表达式 Y=C+C+D+( +C) +CD+CD+DE 解 :Y=C+C+D++C+( CD+CD) +DE =C+C+D++C+CD+ DE ( 利用 +=) =( C+C++DE)( + C+D+CD)( 利用 +=,+=) =+( C +D +C D) ( 利用 +C+C=+ C ) =+C + D 2.4 逻辑函数的卡诺图化简法 2.4. 逻辑函数的卡诺图表示法. 卡诺图的概念在 2.3 节研究了逻辑函数的公式化简法, 利用这种方法的处理过程较长且容易出错, 这就需要一种更系统的方法以发现和消除逻辑函数的冗余 这种较好的方法就是使用卡诺图 卡诺图是一个方格矩阵, 每个方格代表一个逻辑函数的最小项 图 2.4. 给出了 2~4 变量的卡诺图 图形两侧标注的 0 和 表示使对应方格内的最小项为 的变量取值, 同时这些 0 和 组成的二进制数对应的十进制数是对应最小项的编号 在图中最小项的排列, 并不能按照自然二进制数从小到大地顺序排列, 而必须按照

39 第 2 章逻辑代数 29 图中的方式排列, 以确保几何位置上相邻的两个最小项在逻辑上也相邻 CD m 0 m m 3 m C m 0 m m 0 m m 2 m 3 0 m 4 m 5 m 2 m 3 m 7 m 6 m 5 m 4 m 2 m 3 m 4 m 5 m 7 m 6 0 m 8 m 9 m m 0 (a)2 变量最小项的卡诺图 (b)3 变量最小项的卡诺图 (c)4 变量最小项的卡诺图 图 ~4 变量的卡诺图 2. 用卡诺图表示逻辑函数 既然任何一个逻辑函数都可以表示为最小项之和的形式, 那么就可以用卡诺图来表示任何一个逻辑函数 具体方法 : 首先把逻辑函数转化成最小项之和的形式, 然后在卡诺图上找到这些最小项的位置并填入, 在剩下的位置上填入 0, 这就得到了表示此逻辑函数的卡诺图 也就是说, 任何一个逻辑函数都等于它的卡诺图中填入 的所有最小项之和 例 2.4. 用卡诺图表示逻辑函数 Y=+C+ C 解 : 化简逻辑函数为最小项之和的表达式 Y=( C+C) +C+( +) C =C +C +C +C + C =m+m3+m5+m6+ m7 = m( i i=3567,,,,) 画出 3 变量最小项的卡诺图, 把逻辑函数中各最小项对应的位置上填入, 其余位置填入 0, 得到如图 所示的卡诺图 i 利用卡诺图化简逻辑函数图 例 2.4. 的卡诺图利用卡诺图化简逻辑函数的方法称为卡诺图化简法 化简的基本原理就是相邻的最小项可以合并, 消去不同的因子. 利用卡诺图化简的依据 C ) 两个相邻的最小项, 可以消去一个取值不同的变量而合并成一项, 合并后的结果只剩下公共因子 图 画出了两个最小项相邻的几种情况 例如, 在图 中, m( 3 CD) 和 m ( CD) 相邻, 可合并为 CD +CD =CD( +) =CD 0 科学出版社职教技术出版中心

40 30 数字电子技术 合并后消去了 和, 剩下公因子 CD 2)4 个相邻的最小项, 可以消去两个取值不同的变量而合并成一项, 合并后的结果 只剩下公共因子, 如图 所示 例如, 在图 中, m( 0 CD) m( 2 CD) m( 8 CD) m 0 ( CD) 相邻, 可合并为 CD+CD+CD+ CD = D( C+C) +D( C+C) = D( + ) = D 合并后消去了 和 D 和 D, 只剩下公因子 和 D 3)8 个相邻的最小项, 可以消去 3 个取值不同的变量而合并成一项, 合并后的结果 只剩下公共因子, 如图 所示 CD CD CD 图 两个最小项相邻图 个最小项相邻图 个最小项相邻 例如, 在图 中, 左边两列的 8 个最小项都是相邻的, 可以将它们合并为一项 C CD+CD+CD+CD+CD+CD+CD+ CD =C( D+D) +C( D+D) +C( D+D) +C( D+D) = ( +) C+ ( +C ) =( +) C =C 总之, 2 n 个相邻的最小项结合, 可以消去 n 个取值不同的变量而合并成一项 2. 卡诺图化简法的步骤 利用卡诺图化简逻辑函数的具体步骤如下 : ) 将逻辑函数转化成最小项之和的形式 2) 画出该逻辑函数的卡诺图 3) 合并最小项, 即先把相邻的最小项方格用包围圈画成一组, 然后把每个包围圈写成一个新的乘积项 4) 把所有包围圈内对应的乘积项相加 画包围圈的原则如下 : ) 每个包围圈必须含有 2 n 个方格,n 等于 ) 包围圈内的方格数要尽量多, 包围圈的数目要尽量少

41 第 2 章逻辑代数 3 3) 同一方格可以被不同的包围圈重复包围, 但是新增的包围圈中一定要有不同的 新方格, 否则这个包围圈是多余的 4) 卡诺图中所有取值为 的方格都需要被圈过 例 用卡诺图法化简逻辑函数 Y =+C+ C 解 :) 把逻辑函数 Y 转化成最小项之和的形式 Y =( C+C) +( +) C+( +) C =C +C +C + C =m0 +m+m5 + m7 = m( i i=057,,,) 2) 画出该逻辑函数 Y 的卡诺图, 如图 所示 3) 画出包围圈, 合并最小项 i 4) 把所有包围圈对应的乘积项相加, 即得最简与 - 或式 Y =+ C 例 用卡诺图法化简逻辑函数 Y = m( i=02890,,,,, ) 解 :) 由逻辑函数画出卡诺图, 如图 所示 2) 画出包围圈, 合并最小项 i i CD C 图 例 的卡诺图 图 例 的卡诺图 3) 把所有包围圈对应的乘积项相加, 即得最简与 - 或式 Y =+ D 例 用卡诺图法化简逻辑函数 Y = m( i= ,,,,,,,,,,,,, ) i i 解 :) 由逻辑函数画出卡诺图, 如图 所示 2) 画出包围圈, 合并最小项 在画包围圈的时候,0 的项数少, 且容易画包围圈, 可用包围 0 的方法, 如图 所示 3) 把所有对 0 的包围圈对应的乘积项相加, 即得 Y 的表达式 Y = CD CD 科学出版社职教技术出版中心 图 例 的卡诺图

42 32 4) 根据 Y= Y, 得出 : 数字电子技术 Y =CD=+C+ D 具有无关项的逻辑函数化简在逻辑函数的实际应用中, 在输入变量的某些取值组合下, 函数的值可以是任意的, 或者这些变量的取值根本就不可能出现, 因此这些变量的取值对逻辑函数的取值不会有任何影响 这些变量取值所对应的最小项称为无关项或者约束项 无关项的取值对逻辑函数值没有任何影响, 因此, 约束项的取值取 0 或者取 可以根据使逻辑函数尽量得到化简而定 例 十字路口有红 黄 绿 3 盏交通信号灯, 规定是红灯停, 绿灯行, 黄灯等一等, 试用最简单的逻辑函数式来表示车辆通行与 3 盏信号灯之间的逻辑关系 解 :) 设以 C 分别表示红 黄 绿灯的状态, 灯亮为, 灯灭为 0 用 L 表示车辆通行与否, 车行为, 车停为 0 列出该逻辑关系的真值表如表 2.4. 所示 注意 : 在这个函数中, 有 5 个最小项不会出现, 如 C( 红灯和黄灯同时亮 ) C (3 盏灯都不亮 ), 因为一个正常的交通灯系统不可能出现这些情况 这些不会出现的最小项就是无关项, 它们的取值可以任意假设 其对应的最小项表达式 : Y = m( i = ) + d( i = 0,,,,) ) 将真值表的内容填入卡诺图, 如图 所示 表 2.4. 例 的真值表 C L i i i i C 图 例 的卡诺图 3) 画包围圈 注意, 在此画包围圈的时候利用无关项, 把 m 3 m 5 m 7 对应的方格 视为, 可以得到最大的包围群 C 4) 写出 Y 的表达式 : Y=C 如果不利用最小项, 则其卡诺图的画圈方法如图 所示 其可得到表达式 : Y = C 图 例 不考虑无关项的卡诺图 从以上可以看出, 利用无关项可以让逻辑函数进一步化简

43 第 2 章逻辑代数 实践案例 原料传输系统 一个由电动机带动的输送原料的传输装置, 当联合开关处于闭合状态时, 如果有原 料要传送, 且两个操作员之一或者两人均在位时电动机可被启动, 进行原料的传输 如果联合开关处于打开状态, 则电动机永远不可被启动 ; 如果联合开关闭合, 但没有原料, 则电动机也不可启动 在任何工业控制环境中可找到这样一个系统, 可以用不同逻辑函数的不同表达方式来描述此系统 具体分析如下 要开启电动机, 两个操作人员至少一人在位, 原料也必须存在, 且联合开关必须关闭 首先任务是确定输入输出变量, 两位操作人员分别用变量 表示, 操作人员在位用 表示, 不在位用 0 表示 ; 联合开关用变量 S 表示, 开关闭合为, 打开为 0; 原料变量用 X 表示, 有原料时为, 无原料时为 0 电动机用 M 表示, 电动机启动为, 不启动为 0 4 个输入变量分别是 S X, 输出变量是 M 描述输入输出关系如表 2.5. 所示 表 2.5. 原料传输系统的真值表 S X M 观察真值表, 把真值表用卡诺图来表示, 如图 2.5. 所示 根据卡诺图, 可以得出其逻辑函数表达式 : Y =SX + SX 根据逻辑表达式, 可以得出其逻辑图如图 所示 科学出版社职教技术出版中心

44 34 数字电子技术 SX S X M 图 2.5. 原料传输系统的卡诺图 图 原料传输系统的逻辑图 小 结 本章的主要内容是逻辑函数的公式和定理 逻辑函数的表示方法 逻辑函数的化简方法 逻辑函数是分析和设计逻辑电路的数学工具, 要熟练掌握逻辑函数的基本公式和定理, 掌握逻辑函数的真值表 逻辑函数表达式 卡诺图和逻辑图 4 种表示方法 逻辑函数的化简方法是本章的重点 本章介绍了逻辑函数的公式化简法和卡诺图化简法两种方法 公式化简法的使用不受条件限制, 但是在化简一些较复杂的函数时需要熟练地运用各种公式和定理, 而且需要一定的运算经验 卡诺图化简法简单 直观, 有一定的步骤可循, 也不容易出错, 但当变量个数大于 5 时将失去简单 直观的特点, 也就无太大使用意义了 在实际设计数字系统中, 为了减少所用元器件的数目, 往往不限于使用单一的逻辑门电路 这时希望得到的最简逻辑表达式不再是单一的与 - 或表达式, 或者单一的或 - 与表达式, 而是混合形式 因此将函数化成什么形式最好, 要根据选用什么种类的电子元器件而定 思考题与习题. 列出 3 种基本逻辑运算的逻辑函数表达式, 并画出其逻辑符号 2. 逻辑函数有哪些表示方法? 3. 用真值表证明下列恒等式 () + = (2) +C+C=+ C 4. 写出下列各式的反函数 () +C+ CD (2) ++ D 5. 写出下列各式的对偶式 ()( ++C) (2) +C+ D

45 6. 画出下列各式的逻辑图 () + C (2)( ++C)( ++C) 7. 写出图 P2. 的逻辑函数表达式 第 2 章逻辑代数 35 C D L C L () (2) 图 P2. 题 2.7 逻辑图 8. 构造出题 2.7 中逻辑图的真值表 9. 仅用与 - 非门和非门实现下面逻辑函数 () + CD (2)( ++C)( ++C) 0. 仅用或 - 非门和非门实现下面逻辑函数 () C + C (2)( +C)( ++C). 用公式化简法化简下列各式 () C +C + (2) +C+ C (3)( )( ) (4) + + (5) C +C +C + C (6) +++ (7) C +C +C + + C (8) C D + D + C D + CD + C 2. 将下列式子转化成最小项之和的形式 ()Y = CD +D +CD (2)Y = ( +C) 3. 将下列式子转化成最大项之积的形式 ()Y =( +)( ++C) (2)Y =C+C+ C 4. 用卡诺图法化简下列各式 () Y = m(,,,) 045 (2) Y = m(,,,) 0237 (3) Y = m(,,,,) 2367 (4) Y = m(0,,,,, 4 5 9, 3, 5) 科学出版社职教技术出版中心

46 36 数字电子技术 (5) Y = m(,,,,, ,, ) (6) Y = m(0,,,,,,,, , 3, 4) 5. 用卡诺图法化简下列各式 () Y = m(,,,,,) d(,,,) (2) Y = m( ,,,,, ) + d( 5672,,, ) 6. 化简下列逻辑函数, 方法不限 ()Y =+C+CD+ D (2)Y =( CD+CD) +CD (3) Y = + +D +C +CD + CD (4)Y =D+CD+( +C)( +D) 7. 分析图 P2.2 所示的逻辑电路 它是最简单的实现方法吗? 用尽可能少的门重画 该电路 C Y 图 P2.2 题 2.7 的电路图

47 第 3 章逻辑门电路 内容提要在数字电路中, 实现基本逻辑运算和常用逻辑运算的单元电路被称为逻辑门电路, 简称门电路 逻辑门电路是构成数字电路的基本单元 掌握逻辑门电路的相关知识是进一步学习数字电子技术的基础 本章在介绍半导体二极管和晶体管开关特性的基础上, 重点介绍 TTL 集成逻辑门和 CMOS 集成逻辑门 对于这两种集成门电路, 在讲解电路内部结构和工作原理的同时, 将着重讨论它们的电气特性和主要参数, 为正确使用集成逻辑门器件打好基础 基本教学要求. 了解半导体二极管 晶体管和 MOS 管的开关特性 2. 了解 TTL CMOS 门电路的组成和工作原理 3. 掌握典型 TTL CMOS 门电路的逻辑功能 特性 主要参数和使用方法 4. 掌握各种门的逻辑符号及含义, 特别注意 OC 门 OD 门及三态门的工作特点及使用条件 5. 了解 TTL 集成逻辑门 CMOS 集成逻辑门电路使用时应注意的问题 在数字电路中, 常用一高一低两个不同的电压表示逻辑状态 0 和 可能的表示方法有两种 : 如果用高电平代表逻辑状态, 用低电平代表逻辑状态 0, 则称为正逻辑 ; 反之则是负逻辑 在本书中, 如无特殊说明, 使用的都是正逻辑 3. 半导体器件的开关特性 数字电路中的高低电平并不是一个固定不变的电压值, 而是一个范围 例如, 在 74TTL 芯片的输入端, 低于 0.8V 的电压都会被识别为低电平, 高于 2.0V 的电压都会被识别为高电平 正如照明电路中用开关的通与断控制灯的亮与灭一样, 数字电路中利用半导体器件的导通和截止来实现高低电平之间的转换 某些半导体器件, 如二极管 晶体管和 MOS 管, 可以在高低电平的控制下工作于导通与截止两种状态, 因此被广泛应用于数字电路 3.. 二极管的开关特性 科学出版社职教技术出版中心 二极管具有单向导电性, 因此它可以看作一个受外加电压控制的开关 值得注意的是, 二极管具有电容性质, 所以当通过二极管的信号频率过高时, 二极管将不能实现开关作用

48 38 数字电子技术. 二极管的静态开关特性图 3.. 是硅二极管的符号和伏安特性曲线 从伏安特性曲线中可以了解到二极管的静态开关特性 : 当二极管正向偏置时, 如果忽略掉正向导通电压 U, 则可以将二极 ON 管看作一个闭合的开关 ; 当二极管反向偏置时, 可以把二极管看作一个断开的开关 i D /m U R + u D - O 0.7V u D /V (a) 符号 (b) 伏安特性 图 3.. 硅二极管的图形符号和伏安特性曲线 图 3..2 是一个简单的二极管开关电路, 假定输入信号的高电平 U IH = VCC, 低电平 U IL = 0V 当输入信号 ui = U IH时, 由于二极管 D 截止, 因此 uo =U OH = VCC; 当 ui =U IL 时, 二极管 D 导通, 若忽略二极管导通压降, 则 uo=u OL= 0V 在这个例子中, 用输入端 电压 u I 的高低电平控制二极管的开关状态, 可以在输出端得到相应的高低电平输出信号 2. 二极管的动态开关特性 二极管并不是理想的开关, 其由导通到截止和由截止到导通的过程都需要一定的时 间 由截止到导通所需要的时间称为开启时间 t on, 这段时间很短, 通常忽略不计 由导通到截止所需的时间称为关断时间 t off, 由于在这个转换过程中需要将 PN 结位置的电荷消耗掉以扩大耗尽层, 所以会暂时产生一个较大的反向电流, 需要经过一段时间, 反向电流才会接近于 0, 二极管才会真正进入截止状态, 如图 3..3 所示 u I U IH O U IL t V CC i R + u I - D + u O - O t on t off t 图 3..2 二极管开关电路 图 3..3 二极管的动态电流波形 关闭时间 t off 对二极管的开关特性有很大影响, 若二极管两端输入电压频率非常高, 以至于低电平的持续时间小于它的关闭时间 t off, 则二极管将失去其单向导电的开关作用

49 第 3 章逻辑门电路 晶体管的开关特性 PN 结有单向导通特性, 每个晶体管有两个 PN 结, 两个 PN 结有 4 种通断组合方式, 使得晶体管分别具有放大 饱和 截止和倒置 4 种工作状态 如果晶体管只工作于饱和与截止两种状态, 饱和相当于开关闭合, 截止相当于开关断开, 则晶体管就相当于一个开关. 静态开关特性图 3..4(a) (b) 分别是晶体管的开关电路和输出特性 以硅晶体管为例, 则有 : 当输入电压 ui= UIL < 0.7V 时, 晶体管因发射结电压小于其导通电压而截止, 工作在特性曲线的截止区, 晶体管的集电极 C 和发射极 E 之间近似于开路, 相当于开关断开 此时 ic 0, u O =u CE V CC 其等效电路如图 3..5(a) 所示 i c/ m 放大区 +V CC 饱和区 R C u O u I R T O U CES 截止区 V CC u CE / V (a) 开关电路 (b) 输出特性 图 3..4 晶体管的开关电路及输出特性 当输入电压 u I > 0.7V 时, 即发射结电压大于其导通电压, 且 u C < 0 时, 晶体管满 足发射结正向偏置 集电结反向偏置的放大条件, 此时晶体管工作于放大区 当输入电压 u I 进一步增大时, u CE 则随之减小 当 u CE 减小到与 u E 相等时, 晶体管进入饱和状态 通常认为 uce= ue 的状态为临界饱和状态 若输入电压 u I 进一步增大越过临界状态, 则晶体管将工作在输出特性的饱和区, 此时 uo=uces 0.3V, 晶体管的集电极 C 和发射极 E 之间近似短路, 相当于开关闭合, u O 0V 其等效电路如图 3..5(b) 所示 在数字电路中, 晶体管不是工作在截止区就是工作在饱和区, 而放大区仅仅是瞬间 的过渡状态 2. 动态开关特性 科学出版社职教技术出版中心 晶体管在截止与饱和两种状态之间转换时, 由于 PN 结存在结电容, 因此其内部电荷 的建立和消散都需要一定的时间 晶体管由截止到饱和所需要的时间称为开通时间 t on, 由饱和到截止所需要的时间称为关断时间 t off 晶体管的动态开关时间限制了开关电路的

50 40 数字电子技术 工作速度 从图 3..6 中可以清楚地看到集电极电流 i C 的变化滞后于输入电压 u I 的变化 u I U 2 O t t 2 t i C I CS 0.9I CS t f +V CC C 0.I CS C u O u O u O O t d t r t s t u I u I V CC E E U CES O t (a) 截止状态 (b) 饱和导通状态 t on t off 图 3..5 晶体管开关等效电路 图 3..6 晶体管动态开关特性 3. 提高开关速度的措施晶体管的开关时间一般为纳秒级, 并且 ts > tf, t off > t on, t s 的大小是决定晶体管开关速度的主要参数 减少饱和导通时晶体管基区存储电荷的数量, 尽可能地加速其消散的过程, 是提高晶体管开关速度的关键 图 3..7(a) 所示为抗饱和晶体管的结构, 它是由在晶体管的基极和集电极之间接入一个肖特基二极管构成的 肖特基二极管的正向压降小, 约为 0.3V, 它分流了晶体管的部分基极电流, 使其工作在浅饱和状态, 大大缩短了晶体管的开关时间, 提高了工作速度 在集成电路中, 肖特基二极管和晶体管制作在一起, 便是抗饱和晶体管 图 3..7(b) 所示为抗饱和晶体管的符号 C C E E (a) 结构 (b) 符号 图 3..7 抗饱和晶体管

51 第 3 章逻辑门电路 MOS 管的开关特性 绝缘栅场效应管 (MOS 管 ) 有 4 种类型 :N 沟道增强型 P 沟道增强型 N 沟道耗 尽型和 P 沟道耗尽型 在数字逻辑电路中,MOS 管也是作为开关使用的, 一般采用增 强型 MOS 管组成开关电路, 并由栅源电压 u GS 控制 MOS 管的截止和导通 下面以 N 沟 道增强型 MOS 管为例来介绍其开关特性. MOS 管的等效开关电路 图 3..8(a) 所示为 N 沟道增强型 MOS 管 ( 简称 NMOS) 构成的开关电路 栅源 之间的电压 u i 作为电路的输入 NMOS 管的开启电压为 U GS(TH) > 0V u < U 时,NMOS 管工作在截止状态, 漏极 D 和源极 S 之间呈现高电阻, 如 当 i GS(TH) 同断开的开关, I D = 0, u O =U DS = V DD 其等效电路如图 3..8(b) 所示 u > U 时,NMOS 管工作在导通状态, 漏极 D 和源极 S 之间呈现较小的电阻 当 i GS(TH) R, 如同闭合的开关 一般情况下 ON i G= 0, 而且 R D 远大于 R, 此时 ON RON VDD uo= 0V 其等效电路如图 3..8(c) 所示 R + R D ON V DD V DD V DD R D R D R D G D I D u O G D u O G D u O S S S (a)mos 管开关电路 (b) 截止等效电路 (c) 导通等效电路 图 互补定律及 CMOS 反相器 MOS 管开关电路和等效电路 图 3..9(b) 所示为 NMOS 的电压传输特性 在图 3..9(a) 中给栅极加上一个等 于电源电压 V DD 的电路最高电压, 使 NMOS 可以很好地导通 如果输入电压 u I 允许在 0 到 V DD 之间变化, 则输出电压 u O 被限制在 0~V DD - U GS( TH), 如图 3..9(b) 所示, 输出电压达不到电源电压 因此, 可以得出结论 :NMOS 传输一个强逻辑 0, 传输一个弱逻 辑 用同样的方法可以分析得到 :PMOS 可以传输一个强逻辑, 传输一个弱逻辑 0 科学出版社职教技术出版中心 由以上讨论可见,PMOS 和 NMOS 在电气和逻辑特性上互补, 即它们的开关特性 和电压传输特性相反 因此可以方便地由两者构成逻辑电路, 由它们构成的电路称之为 CMOS(Complementary Metal Oxide Semiconductor, 互补金属氧化物半导体电路 ) 在 CMOS 电路中, 一个 NMOS 和一个 PMOS 组成一个互补对

52 42 数字电子技术 +V DD S2 T 2 输入 u I 输出 u O G2 u I +V DD u O V DD V DD -U GS(TH) 弱 G D2 D + u O 0 0 强 0 + u _ I S T _ (a)nmos (b) 电压传输特性 (c) 反相器电路 图 3..9 NMOS 电压传输特性及 CMOS 反相器 在数字电路中, 逻辑 0 的理想电平为 0V, 逻辑 的理想电平为 V DD 根据 MOS 管传输特性, 如果设计一个反相器, 则自然会考虑用两个互补的 MOS 管, 其中它们的栅 极接在一起使之具有互补开关特性 由于 NMOS 传输逻辑强 0,PMOS 传输逻辑强, 因而输出与地之间接 NMOS, 输出与 V DD 之间接 PMOS 这样可得图 3..9(c) 所示的反相器电路 当输入电压 u I 为低电平, 即逻辑 0 时,NMOS 管 T 截止,PMOS 管 T 2 导通 因此, 输出通过 T 2 与电源接通, uo V, 输出为逻辑 同理, 当输入电压为高 DD 电平时,PMOS 管 T 2 截止,NMOS 管 T 导通, u 0 O V 该电路实现了反相作用 3.2 分立元器件门电路 逻辑门电路是指能够实现各种基本逻辑关系的电路, 简称 门电路 最基本的门 电路是与门 或门和非门 此外, 常用的还有与非门 或非门 同或门和异或门等 分立元件门电路是由分立的半导体二极管 晶体管以及电阻等元器件组成的 3.2. 二极管与门 最简单的与门由二极管和电阻组成, 其电路和逻辑符号如图 3.2. 所示 设 VCC= 5V, 输入端 的高低电平分别为 U IH =3V, U IL = 0V, 二极管 D D 2 的正向导通压降 ud=u D = 0.7V, 则有 : 2 当输入电位都为低电平, 即 u=u= 0V 时, 二极管 D 和 D 2 都处于正向导通状态, 输出电位 ul=ud +u =ud +u 0.7V 2 = 为低电平 当输入电位中有一个为低电平, 如 u= 0V, u= 3V 时,D 会导通, 并将输出电位 u L 保持在 0.7V, 使 D 2 受反向电压作用而截止, 因此输出电位 ul= 0.7V 为低电平 当 u=u= 3V 时,D 和 D 2 都处于正向导通状态, ul=ud +u =ud +u 3.7V 2 = 若规定输出端 3V 以上为高电平, 即逻辑 ;0.7V 以下为低电平, 即逻辑 0, 则显然 L 和 是与逻辑关系 其逻辑表达式为 L =

53 第 3 章逻辑门电路 二极管或门 二极管和电阻组成的或门电路及其逻辑符号如图 所示 当至少有一个输入为 高电平时, 高电平输入端相应的二极管导通, 使输出端为高电平 ; 只有当两个输入均为低电平时, 两个二极管才均截止, 使输出为低电平 显然 L 和 是或逻辑关系, 其表达式为 L=+ 由二极管组成的与门和或门结构简单 成本低廉, 但是存在输出电平偏移的问题, 若电路由多级组成, 电平偏移值就会积累增大从而引发逻辑错误 另外, 它们的抗干扰和驱动负载的能力都较差, 负载电阻的变化对输出电平的影响较大 +V DD D R D 2 D D 2 L L L L (a) 与门电路 (b) 与门逻辑符号 (a) 或门电路 (b) 或门逻辑符号 图 3.2. 二极管与门 图 二极管或门 晶体管非门 非门也称为反相器, 图 所示为晶体管非门电路及其逻辑符号 V CC R C R i T i C β = 30 (a) 非门电路 图 晶体管非门 L L (b) 非门逻辑符号 设输入端高低电平分别为 U IL= 0V, U IH = 5V 当 u=uil= 0V 时, 晶体管截止, i C 0, 所以 ul=vcc= 5V, 输出高电平 当 u=uih= 5V 时, 发射结正偏, 在适当的 R 和 R C 电阻值的作用下晶体管 T 工作在饱和状态, ul=uces= 0.3V, 输出低电平 输出 L 和输入 满足非逻辑关系, 逻辑表达式为 L = 晶体管非门的特点是结构简单, 但是输出高电平时带负载能力差 科学出版社职教技术出版中心

54 44 数字电子技术 以上讨论的是由分立元器件构成的基本的与门 或门和非门, 利用它们还可以构成与非门 或非门等其他逻辑门 但是由于它们带负载的能力较差, 开关特性也不理想, 因此在工程上极少应用, 目前已经被集成电路所取代 3.3 TTL 集成逻辑门 现代数字电路普遍采用集成电路 集成电路按内部有源器件的不同可以分为两大类 : 一类为晶体管集成电路, 其主要代表是 TTL 逻辑门 (Transistor-Transistor Logic); 另一类为场效应管集成电路, 其主要代表是 CMOS 逻辑门 TTL 逻辑门和 CMOS 逻辑门是目前应用最为广泛的集成逻辑门电路 TTL 集成逻辑门的输入级和输出级都采用半导体晶体管构成, 所以称为晶体管 - 晶体管逻辑门电路, 简称 TTL 门 国产 TTL 集成逻辑门电路有 CT74/54 通用系列 CT74H/54H 高速系列 CT74S/54S 肖特基系列和 CT74LS/54LS 低功耗肖特基系列等 由于它们的电路结构不同, 所以输入电流 输出电流 功耗和传输时延等参数不尽相同, 但是其外接引脚排列基本兼容 74 系列和 54 系列的差别主要在于使用环境温度不同, 54 系列为 -55~+25,74 系列为 0~70 另外, 二者的电源电压允许变化的范围也不一样,54 系列为 ±0%,74 系列为 ±5% 3.3. TTL 与非门的结构和工作原理 为了更好地使用各种集成逻辑门, 必须先了解其内部电路特点 外部特性及技术参数 下面以 TTL 与非门为例介绍. TTL 与非门的内部结构 图 3.3. 所示为一种具有两个输入端的 TTL 与非门的基本单元电路 图中 T 与 R 组成了电路的输入级 T 管具有一个基极 一个集电极和两个发射极, 称为多发射极晶体管, 制造商采用 和 8 发射极晶体管制成 和 8 输入与非门 T 3 T 4 D V CC R R 2 R 4 P T 4 T T 2 T 3 D F F R 3 (a)ttl 与非门电路 (b) 与非门逻辑符号 图 3.3. TTL 与非门基本单元电路图和逻辑符号

55 第 3 章逻辑门电路 45 和电阻 R 4 构成电路的输出级, 其中 D T 4 作为由 T 3 组成的反相器的有源负载 T 2 与电阻 R 2 R 3 组成的中间级作为输出级的驱动电路 2. TTL 与非门的功能分析 () 和 至少有一个输入为逻辑 0 时输出与输入的逻辑关系 假设 输入逻辑为 0( 如 0.3V), 这时, V CC 通过 R 向 T 注入基极电流, 相应的发射结导通, 则 T 的基极电位被钳制在 up=u+u E=( ) V=V 这一电压不足以使 T 的集电结和 T 2 的发射结导通, 故 T 2 截止, 这导致 T 2 的发射极即 T 3 的基极电势与地相同, 从而 T 3 也截止 同时, 由于 T 2 截止, 电源 V 通过 R CC 2 向 T 4 提供基极驱动电流, 而使 T 4 和 D 导通, 流过的电流近似为输出端 F 的负载电流 i F, 忽略 T4 的基极电流在 R 2 上产生的压降 i 4R2 可得输出电压为 uf VCC-UE4-UD=( ) V=3.6V 这说明, 有任一输入为逻辑 0 时, 输出 u F 为高电平, 即逻辑 (2) 输入 均为 时输出与输入之间的逻辑关系 假设 均为 3.6V 的高电平, 电源电压通过 R 向 T 提供基极电流, 此时, 似乎 T 的发射结和集电结都正偏, 如果发射结导通, 则 up=3.6v+0.7v= 4.3V ; 如果 T 集电结正偏导通, 则由 T 集电极流出的电流将驱动 T 2 导通, 同时 T 2 的发射极电流又进一步驱动 T 3 导通, 这 3 个 PN 结导通后, 则有 up=uc+ue2+ue3=3 0.7V=2.V 这样, T 的基极电位将被钳制在较低的 2.V, 这个电位低于这时的发射极电位 因此 T 的各个发射结承受反偏而截止, 这时的晶体管 T 工作在倒置状态, 而 T 2 工作在 饱和状态 于是有 uc2=ue3+uces2=( ) V=V 这个电压不能使 T 4 和 D 导通, 故 T 4 和 D 截止 可见, 此时 T 3 的集电极电流接近于零 此外, T 3 的基极电流由 T 2 发射极电流提供, 它使 T 3 处于饱和状态 当输出端空载时, 可使 u F < 0.3V, 也就是说, 输出 F 为逻辑 0 输入电压为.4V, 即 T 的基极电压为 2.V 是输出级 T 4 和 T 3 轮流导通的一个临界电压, 这个电压被称为阈值电压, 记为 U (3) 结论 TH 当与非门的输入全为逻辑 时, 其输出为逻辑 0; 任何一个输入为逻辑 0 时, 就使 输出为逻辑, 这样就实现了与非逻辑功能, 即 F = 如果多发射极晶体管有 3 个发 射极, 则可得 F = C, 其余可以此类推 TTL 与非门的电气特性与主要参数. 输入输出电压和噪声容限 () 电压传输特性 科学出版社职教技术出版中心 TTL 与非门的输出电压 u O 随输入电压 u I 的变化而变化的关系, 称为电压传输特性,

56 46 数字电子技术 如图 所示 电压传输特性曲线可大致分为以下 4 个区段 u O /V ) 段 当 u I < 0.6V 时, 设 uces= 0.V, 则 u C < 0.7V, 使得 T 2 T 3 截止, T 4 导通, uo 3.6V, 为高电平 段称为特性曲线的截止区 2)C 段 当 0.6V u.3v 时,0.7V u C <.4V, 由于 T 2 的发射极电阻 R 3 接地, 故 T2 导通, 且进入放大区, 但 T 3 仍截止, T 4 处于射极输出状态 随 u I 的增大, u 2 增大, u C2 减小, 并通过 T 4 使 u O 也减小 因为 u O 基本上随着 u I 的增大而线性减小, 故把 C 段称为特性曲线的线 性区 3)CD 段 当.3V ui <.4V 时, T 3 开始导通, 输出电压 u O 急剧下降为低电平, 所以把 CD 段称为特性曲线的转折区或过渡区 4)DE 段 当 u I >.4V 时, T 2 和 T 3 饱和, T 4 截止, u I 继续升高时, u O 基本不变, 为低电平, 称为特性曲线的饱和区 (2) 相关参数 C D U T ) 输出高电平 U OH 和输出低电平 U OL 图 中电压传输特性曲线截止区的输出电压称为输出高电平 U OH, 其典型值是 3.6V; 饱和区的输出电压称为输出低电平 U OL, 其典型值是 0.3V 一般产品规定 UOH 2.4V, U OL 0.4V 2) 输入开门电平 U ON 和输入关门电平 U OFF 保证输出电压达到额定低电平 U OL 时, 允许输入高电平的最小值, 称为开门电平 U ON U ON 典型值为.4V, 一般产品 UON 2V 保证输出电压为额定高电平 U OH 时, 允许输入低电平的最大值, 称为关门电平 U OFF 一般产品 U OFF 0.8V 3) 阈值电压 U TH 转折区中点所对应的输入电压称为阈值电压或门槛电压, 用 U TH 表示 U TH 的值介于 U ON 和 U OFF 之间, 而 U ON 和 U OFF 的数值差别不大, 所以实际使用中常近似为 UTH UOFF UON 在近似分析和估算中, 可以认为, 当 ui < UTH时, 与非门关闭, 输出高电平 ; 当 ui > UTH时, 与非门开启, 输出 输出低电平 U OH (min) 4) 输入端噪声容限 U NL 和 U NH 在实际应用中, 由于外界干扰 电源波动等因素, 可能使输入电压 ui 偏离规定值 从电压传输特性可以知道, 当 u 偏离 0.3V 而升高时, u O 并不立即下降 ; 当 u I 偏离 3.6V 而降低时, u O 也不会立即上升 因此在数字电路中, 只要偏离值在允许范围内, 输出端的逻辑状态就不会受到影响 在保证输出高 低电平基本不变的条件下, 输入电平的允许波动范围称为输入端噪声容限 显然, 电路噪声容限越大, 其抗干扰能力就越强 图 是输入端噪声容限示意图, 门电路的输出 E u I /V 图 TTL 与非门电压传输特性曲线 I 0 输出 I U OL(max) U NH U IH (min) U IL (max) U NL 输入 0 输入 图 输入端噪声容限示意图

57 第 3 章逻辑门电路 47 高电平的下限为 U OH(min), 输出低电平的上限为 U OL(max), 输入低电平的上限为 U IL(max) ( 即 U OFF ), 输入高电平的下限为 U IH(min) ( 即 U ON ) 在将许多门电路互相连接起来组成系统时, 前级门电路的输出就是后级门电路的输入, 后级输入高电平信号可能出现的最小值即 U OH(min), 而它允许输入的高电平最小值为 U IH(min), 两者的差值就是输入为高电平时的噪声容限, 即 UNH=UOH(min) - UIH(min) 同理, 可得输入为低电平时的噪声容限为 UNL=UIL(max) - UOL(max) 常用的 74 系列门电路的标准参数为 U OH(min) = 2.4V, U OL(max) = 0.4V, U IH(min) = 2.0V, U IL(max) = 0.8V 因此 UNH=UOH(min) -UIH(min) =( ) V=0.4V UNL=UIL(max) -UOL(max) =( ) V=0.4V U NH 反映了前级输出高电平为最小值时, 允许叠加在其上的最大负向干扰或噪声电压 U NL 反映了前级输出低电平为最大值时, 允许叠加在其上的最大正向干扰或噪声电压 TTL 电路的输出电阻低, 输入电阻也不高, 尽管 UNH=UNL= 0.4V, 但其抗干扰能力仍然比较强 2. 输入输出电流和扇出数 扇出数定义为不超出门的允许电流范围的情况下, 能够连接在门输出端的标准负载的数量 一个标准负载通常被定义为同一系列逻辑门的输入所需的电流量 一旦负载电流超过驱动门能够提供的电流量, 电路将不能正常工作, 即驱动门超负荷 () 灌电流当驱动门输出为低电平时, 负载门的 V CC 通过电阻 R 多输入晶体管 T T 的发射结以及处于饱和导通状态的晶体管 T 3 与驱动门的 GND 相连 由图 可见, 此时负载电流为灌电流 I OL, 其方向为由后一级负载门的输入端流向前一级驱动门的输出端 灌电流 I OL 越大, 则晶体管 T 3 的饱和程度越低, 输出端电平就越高 为保证 TTL 与非门的输出为低电平, 对 I OL 要有一个限制 一般将输出低电平 U OL= 0.35V 时的灌电流定为最大灌电流 I OLmax (2) 拉电流当驱动门输出为高电平时, 由图 可见, 负载电流为拉电流 I OH, 其方向为由前一级驱动门的输出端流向后一级负载门的输入端 拉电流 I OH 越大, 输出端的电平就越低 为保证 TTL 与非门的输出为高电平, 对 I OH 要有一个限制 一般将输出高电平 U OH= 2.7V 时的拉电流定为最大拉电流 I OH max (3) 扇出数当 TTL 门的某个输入端为低电平时, 其输入端负载电流为 I IL ; 当输入端为高电平时, 其输入端负载电流为 I IH 若门电路的最大拉电流和最大灌电流分别为 I OL max 和 I OH max, 则可求出门的扇出数 扇出数 N 为同时满足下列两个不等式的最大的整数 : O 科学出版社职教技术出版中心

58 48 数字电子技术 N O I I OLmax N 越大, 表明门的负载能力越强 O IL 和 N O I I OHmax IH V CC V CC V CC V CC R 4 R R 4 R V CC V CC T 4 I IL ' R T 4 ' R D T 3 F T I IL ' T D T 3 I IH F I IH T I IH ' T I OL I IL I OL I IL I IH I IH I IH 图 驱动门输出低电平时驱动电流 与负载电流 图 驱动门输出高电平时驱动电流 与负载电流 3. 传输时延和功耗 () 传输时延 实际的数字信号从 0 到, 从 到 0 都是需要时间的 通常, 在使用逻辑门时, 某 个门的输入往往来自另一个门的输出, 所以门的实际输入波形并不是瞬时突变的 图 所示为一个非门的输入和输出波形 通过比较输入和输出波形可以看出, 除了 反相关系外, 输出波形比输入波形还延后了一定的时间, 称为传输时延 从输入波形下降沿的 50% 到输出波形上升沿的 50% 之间的延迟时间, 称为门输出由低电平升到高电平 的传输时延 t PLH ; 反之, 输出由高电平降到低电平的传输时延为 t PHL, 而平均传输延迟 时间 t 为上述两者的平均值, 即 pd tplh+tphl tpd= 2 平均传输时延 t 除了决定于逻辑门本身的结构和制造工艺以及电源电压的大小等 pd 因素以外, 还与输出端所接的其他逻辑门的输入电容和接线电容有关 逻辑门输出端所接的等效电容越大, t pd 就越大 典型 TTL 与非门的平均传输时延 t pd 为 0~20ns (2) 功耗集成门电路需要有直流电源供电,TTL 集成逻辑门供电电源一般为 5V V CC 提供的电流称为电源电流, 用 I E 表示 I E 越小, 则集成逻辑门的功耗越小 国产 TTL 芯片的 IE 为 0.34m

59 第 3 章逻辑门电路 49 非门输入波形 高低电平间 50% 位置 t PLH t PHL 非门输出波形 高低电平间 50% 位置 图 逻辑门的时延 功耗是集成逻辑门工作时消耗的功率, 它等于电源电压 V 与电源电流 I CC E 的乘积 输入全为 0 和全为 时的功耗是不一样的, 一般取其平均值 (3) 功耗延时乘积 一般情况下, 降低功耗往往与提高门电路的开关速度相矛盾 因此, 常用功耗 P 和 传输时延 t 的乘积, 即功耗时延积 M 是衡量一个门的品质指标 pd M= P t pd M 在习惯上也被称为速度功耗积 M 值越大, 表示器件的性能越差 其他逻辑功能的 TTL 门电路. TTL 非门 TTL 非门的基本电路如图 所示, 除了输入级由多发射极晶体管改为单发射极 晶体管外, 其余部分与图 3.3. 所示的与非门完全相同 当 ui=uil= 0.3V 时, T 的发射结导通, T 2 T 3 截止, 而 T 4 和 D 导通, u O = 3.6V, 输出为高电平 当 ui=uih= 3.6V 时, T 倒置放大, T 2 T 3 饱和导通, 而 T 4 和 D 截止, u =U, 输出低电平 O CES 0.3V 电路实现的是非逻辑功能,Y = 由电路结构可以看出,TTL 非门与基本的 TTL 与非门的输出电路是相同的, 所以 输出特性相同 而输入特性与 TTL 与非门只使用一个输入端的情况相同 2. TTL 或非门 TTL 或非门的基本电路如图 所示 图中 R T R T 构成输入级, 并联的 T 2 T 2 和 R 2 R 3 构成中间级 ; T 3 T 4 D R 4 构成输出级 当输入 同为低电平时, T 和 T 的发射结导通, T 2 和 T 2 同时截止, T 3 截止, T 4 和 D 导通, 输出为高电平 科学出版社职教技术出版中心 当输入 有一个为高电平, 如当 为高电平时, T 的基极电流将通过其集电结流入 T 2 的基极, 使 T 2 和 T 3 饱和导通, 输出低电平 当输入 同为高电平时, 同样可以分析得到输出为低电平

60 50 数字电子技术 V CC V CC R R 2 R 4 R R 2 R 4 T 4 P T 4 T T 2 D T T 2 D F R' T 3 F T 3 R 3 T'2 R 3 T' 图 TTL 非门基本电路 图 TTL 或非门基本电路 该电路实现的是或非逻辑功能,Y=+ 由于每个或门输入端是分别接到各自的输入晶体管上的, 因此将 n 个或门输入端并 V CC R R 2 R 4 联使用时, 无论是总的高电平输入端电流还是总的低电平输入端电流都等于单个输入端电流的 n 倍 C D T R' T'2 T' T 4 T 2 T 3 R 3 图 TTL 与或非门基本电路 D F 3. TTL 与或非门 TTL 与或非门的基本电路如图 所示, 从图中可以看到, 与或非门的电路仅仅是将图 中的或非门电路输入端的 T 和 T 换成了多发射极晶体管 由于多发射极晶体管相当于与的关系, 所以只需要用 与 CD 分别代替或非门电路中的 和, 即可得到与或非的逻辑功能,Y=+ CD 4. TTL 异或门 图 所示为 TTL 异或门的等效逻辑图及符号 由图 3.3.0(a) 可以得到表达式 Y =++=( +)( = +)( +) =+= L = L (a) 等效逻辑图 (b) 逻辑符号 图 TTL 集成异或门的等效逻辑图及逻辑符号

61 第 3 章逻辑门电路 TTL 集电极开路门和三态逻辑门. TTL 集电极开路门 () 线与 在实际使用中, 有时候需要将多个逻辑门的输出端并联, 以实现与逻辑的功能, 这 种实现方法称之为线与 然而在前面接触到的 TTL 门电路, 其输出端不能并联使用, 从而无法实现线与 这是因为, 对于一般的 TTL 门电路, 如图 3.3. 所示, 将两个与非 门的输出端直接相连, 当门 G 输出高电平, 而门 G 2 输出低电平时, 将有一个电流从 V CC 经 G 的 T 4 到 G 2 的 T 3, 由于 T 3 和 T 4 都处于饱和导通状态, 因此这个电流将会很大, 不仅会造成输出端逻辑关系的错误, 还会因为功耗过大而损坏器件 为了能使 TTL 门电路的输出端直接相连, 实现线与功 G V CC R 4 T 4 能, 出现了一种采用集电极开路结构的门电路, 即集电极 L 开路门 (Open Collector Gate,OC 门 ) (2)OC 门的电路结构和工作原理 V G CC 2 ) 电路结构 与非 OC 门的电路及逻辑符号如 图 所示 与普通 TTL 与非门相比, 输出端晶体管 T3 的集电极开路, 没有如图 3.3. 中那样通过 R 4 T 4 和 D 与 V CC 相连 需要特别注意的是, 只有输出端经外接电阻 R L 与电源接通后,OC 门才能正常工作, 如图 中 L 2 虚线部分所示 T 3 2) 工作原理 当 u=u= U IH 时, T 3 饱和导通, uo=uces= 0.3V ; 当两个输入中至少有一个低电平 U IL 时, T 3 截止, 输出端通过上拉电阻 R L 与外接电源 V CC 连通而获得高电平 uo, uo V CC 图 3.3. 两个 TTL 门电路输出端直接并联的电流情况 图 3.3.2(c) 给出的是两个 OC 与非门的线与逻辑图, 其逻辑函数表达式为 F = CD=+ CD 在图 3.3.2(c) 的电路中, 需要选择适当的 R L, 以确保电路正常工作 (3) R L 的选取 OC 门接上拉电阻 R L 的阻值大小会影响门的时延 功耗和扇出数, 彼此对 R L 的要 求相矛盾, 同时 R L 的取值也会影响到 OC 门的输出逻辑电平 假设有 n 个 OC 门输出线 与驱动 m 个 TTL 门, 与 OC 门输出端相连的 m 个 TTL 门的输入端总数为 k 选择 R L 的 原则是保证 R L 上的压降使 OC 线与输出电压不超过允许电平范围 ) R Lmax 的计算 要保证 OC 门输出高电平大于 U OHmin, 应满足 VCC -UOHmin RLmax= ni +ki OH IH 科学出版社职教技术出版中心

62 52 数字电子技术 V CC V CC ' V CC ' R R 2 R L P T R 3 T 2 T 3 R L F F C D F (a) 电路 (b) 逻辑符号 (c) 线与连接 图 OC 门 式中, I OH 为 OC 门输出高电平时内部 T 3 管的穿透电流 I CEO( 即晶体管 T 3 截止时通过它的电流 ); I IH 是下级 TTL 门输入端高电平时的输入电流 ; U OHmin 是 OC 门输出高电平允许的最低电压 ; V CC 是 OC 门外接的电源电压 2) R Lmin 的计算 OC 门线与输出低电平时, 若只有一个门导通, 则负载电流和 RL 上的电流灌入唯一一个导通的 OC 门时, 最容易将低电平抬高, 因此应该以这种最坏情况满足低电平小于 U OLmax 来计算 R Lmin, 即 VCC -UOLmax RLmin= IOLmax-mIIL 式中, I OLmax 是 OC 门带灌电流负载时 T 3 所允许流入的最大电流值 ; U OLmax 是 OC 门输出低电平允许的最高电压 ; I IL 是负载门输入端的灌电流 (4) 应用举例 OC 门除了实现多个门的线与功能之外, 由于其外接电源 V CC 为 5~30V, 因此可用来驱动其他不同电平的逻辑电路, 实现电平转换 也可用它来驱动发光二极管 继电器等不同电平的用电器 图 3.3.3(a) 将上拉电阻接到 0V 电源上, 这样在 OC 门输入为 TTL 电平时, 输出高电平就变为 0V 图 3.3.3(b) 为 OC 门驱动发光二极管的电路 +0V +5V R L F (a) 实现电平转换 (b) 驱动发光二极管 图 OC 门的应用 除与非门外, 与门 或门 或非门等都可以做成集电极开路的输出结构, 而且外接

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

Digital System Design I

Digital System Design I 逻辑化简 刘鹏 浙江大学信息与电子工程系 Mar. 12, 2015 1 复习 逻辑公式和表达 公式法 本节内容 公式法化简 卡诺图化简 2 2014 ZDMC 与 -AND 条件同时具备, 结果发生 Y= A AND B = A&B = A B = AB 真值表 /truth table 图形符号 复习 A B Y 国标 0 0 0 0 1 0 1 0 0 1 1 1 国际 3 或 -OR 条件之一具备,

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

FJXBQ

FJXBQ 高等医学院校选用教材 ( 供成人教育中医药专业 中西医结合专业使用 ) 方剂学 闫润红 主编 2 0 0 1 内容简介本书是供成人教育中医药专业 中西医结合专业使用的教材 全书分总论和各论两部分, 总论部分对中医方剂的基本理论, 如治法 君臣佐使 剂型 剂量等及其现代研究进展进行了介绍 各论部分对常用方剂的主治病证 配伍意义 临床应用 加减变化规律及现代研究概况等内容, 按分类进行了系统阐述 在保证方剂学学科知识结构完整性的前提下,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

数字逻辑与数字系统

数字逻辑与数字系统 数字逻辑与数字系统 胡伟邮箱 :whu@nju.edu.cn http://ws.nju.edu.cn/~whu 一 目的要求 数字逻辑是电子计算机技术的基础课程之一, 通过本课程的学习, 达到要求 : 1. 掌握数字电子技术的基本理论, 基础知识和基 本技能 2. 熟悉数字集成电路的工作原理, 特性和功能 3. 具备正确运用数字集成电路的能力 4. 掌握逻辑电路的分析方法和设计方法 二 与其他课程的关系

More information

第2章内容提要

第2章内容提要 第 2 章逻辑函数及其化简 内容提要本章是数字逻辑电路的基础, 主要内容包含 : (1) 基本逻辑概念, 逻辑代数中的三种基本运算 ( 与 或 非 ) 及其复合运算 ( 与非 或非 与或非 同或 异或等 ) (2) 逻辑代数运算的基本规律 ( 变量和常量的关系 交换律 结合律 分配律 重叠律 反演律 调换律等 ) (3) 逻辑代数基本运算公式及三个规则 ( 代入规则 反演规则和对偶规则 ) (4)

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

数字电子技术 理论与实践一体化教程 在任意进制数中每位所使用的字符称为该位的系数 在十进制中各位的系数可以是 十个字符中的任何一个 因此 任意一个十进制数 可以表示为 其中 下标 表示十进制数 也可以用数字 来表示 二进制数在现代数字系统中 广泛采用二进制计数 二进制使用的字符只有 和 两个 因此

数字电子技术 理论与实践一体化教程 在任意进制数中每位所使用的字符称为该位的系数 在十进制中各位的系数可以是 十个字符中的任何一个 因此 任意一个十进制数 可以表示为 其中 下标 表示十进制数 也可以用数字 来表示 二进制数在现代数字系统中 广泛采用二进制计数 二进制使用的字符只有 和 两个 因此 教学目的掌握十进制 二进制 八进制 十六进制四种数制及其相互之间的转换 掌握逻辑运算的基本公式和定理 熟练运用公式法和卡诺图进行化简 熟练掌握 仿真软件的使用方法 技能要求掌握四种数制及其相互之间的转换 熟练运用公式法和卡诺图进行化简及熟练掌握 仿真软件的使用方法 数制和码制 数制 生活中 常需要用数字量表示物理量的大小或事件的多少 仅用一位数码是不够的 因而必须用进位计数的方法组成多位数码来表示

More information

2 数字电路与逻辑设计 ( 第 2 版 ) 制数的不同位置时, 所代表的数值是不同的 例如十进制数 1961 可写成展开式为 (1961) 其中,10 称为基数, 称为各位数的 权 十进制数个位的权为

2 数字电路与逻辑设计 ( 第 2 版 ) 制数的不同位置时, 所代表的数值是不同的 例如十进制数 1961 可写成展开式为 (1961) 其中,10 称为基数, 称为各位数的 权 十进制数个位的权为 第 1 章 1 第 1 章 1 1 数字信号与数字电路 在自然界中, 存在着各种各样的物理量, 尽管它们的性质各异, 但就其变化规律的特点而言, 可以分为两大类 一类是物理量的变化在时间上和数量上都是离散的, 其数值的变化都是某一个最小数量单位的整数倍, 这一类物理量称为数字量 将表示数字量的信号称为数字信号, 并将工作在数字信号下的电子电路称为数字电路 另一类是物理量的变化在时间上和数值上是连续的,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

数字电子技术基础 ( 第五版 ) 清华大学电子学教研组编阎石主编

数字电子技术基础 ( 第五版 ) 清华大学电子学教研组编阎石主编 数字逻辑电路 中国科学技术大学自动化系关胜晓 E-mail:guanxiao@ustc.edu.cn 电二楼 417 数字电子技术基础 ( 第五版 ) 清华大学电子学教研组编阎石主编 1. 主要内容 说 明 逻辑函数及其化简 集成逻辑门电路 组合逻辑电路和时序逻辑电路的分析 半导体存储器 可编程逻辑器件 脉冲单元电路及数模转换技术 2. 学时 授课 60 学时 讲课第 1 周至 14 周, 第 8

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

幻灯片 1

幻灯片 1 第一类换元法 ( 凑微分法 ) 学习指导 复习 : 凑微分 部分常用的凑微分 : () n d d( (4) d d( ); (5) d d(ln ); n n (6) e d d( e ); () d d( b); ); () d d( ); (7) sin d d (cos ) 常见凑微分公式 ); ( ) ( ) ( b d b f d b f ); ( ) ( ) ( n n n n d f

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章

附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章 附件 6: 郑州大学 2020 年硕士生入学考试初试自命题科目考试大纲 学院名称科目代码科目名称考试单元说明 微电子学院 905 电子技术 说明栏 : 各单位自命题考试科目如需带计算器 绘图工具等特殊要求的, 请在说 明栏里加备注 郑州大学硕士研究生入学考试 电子技术 考试大纲 命题学院 ( 盖章 ): 物理学院 考试科目代码及名称 : 905 电子技术 一 考试基本要求及适用范围概述 本 电子技术

More information

<CAFDD7D6B5E7D7D3BCBCCAF546592E733932>

<CAFDD7D6B5E7D7D3BCBCCAF546592E733932> 社 心 版 中 出 版 学 n 出 c 科 术 k. o 技 o b 教 a 职 ww. w 高等职业教育 十一五 规划教材 高职高专机电类教材系列 数字电子技术 邱丽芳主编王皑副主编谭耀辉主审 北京 内容简介 全书共 9 章, 介绍了数字电路的基础知识 逻辑代数基础 逻辑门电路 组合逻辑电路 集成触发器 时序逻辑电路 脉冲电路 数模和模数转换和半导体存储器, 涵盖了数字电子技术的全部内容, 各章配有小结及习题

More information

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书 做事细节全书 赵彦锋著 企业管理出版社 图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, 2005.11 ISBN 7-80197-338-0 Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B026-49 中国版本图书馆 CIP 数据核字 (2005) 第 136676 号 书 名 : 做事细节全书 作 者 : 赵彦锋 责任编辑 : 吴太刚

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

本章内容 如何用 0 1 来描述逻辑关系? 如何通过简单逻辑构建复杂逻辑? 逻辑可以 化简 么? 逻辑的终极构件 与 非

本章内容 如何用 0 1 来描述逻辑关系? 如何通过简单逻辑构建复杂逻辑? 逻辑可以 化简 么? 逻辑的终极构件 与 非 第 1 章 逻辑代数基础 Video Image Processing (VIP) Research Group @ Fudan http://soc.fudan.edu.cn/vip/ 范益波 2013.9 本章内容 如何用 0 1 来描述逻辑关系? 如何通过简单逻辑构建复杂逻辑? 逻辑可以 化简 么? 逻辑的终极构件 与 非 本章要求 掌握逻辑代数的基本公式和基本定理 逻辑函数的各种表达方式 掌握逻辑函数的化简方法

More information

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算 图灵程序设计丛书 程序员的数学 3: 线性代数 [ 日 ] 平冈和幸堀玄著 卢晓南译 图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, 2016.3 ( 图灵程序设计丛书 ) ISBN 978-7-115-41774-9 Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算机 数学基础 2

More information

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 )

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 ) [ 说明 ] 1. 以下所指教材是指朱杰老师的 管理类联考综合能力数学套路化攻略 2. 该文档中所标答案和参见的教材答案, 与视频有冲突的, 以视频答案为准! 基础篇 第 1 章 数 1.2.1 整数例题答案 : 1. A ( 详细解析见教材 P7 例 2) 2. D ( 详细解析见视频课程 数的性质 约 10 分 53 秒处 ) 3. C ( 详细解析见教材 P7 例 3) 4.E ( 详细解析见视频课程

More information

Microsoft Word - 最新正文.doc

Microsoft Word - 最新正文.doc 9 21 1.1.1 1.1.2 1 2 2 Windows 7+Office 2010 3 4 5 6 4 7 1.1.3 5 1.1.4 1 3 2 NII 1993 3 CNNIC 2014 1 16 33 1 2013 12 6.18 5358 45.8% 2012 3.7 2 2013 12 5 19.1% 2012 74.5% 81.0% 2013 3G 2013 12 2.47 2012

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

6.3 正定二次型

6.3 正定二次型 6.3 正定二次型 一个实二次型, 既可以通过正交变换化为标准形, 也可以通过拉格朗日配方法化为标准形, 显然, 其标准形一般来说是不惟一的, 但标准形中所含有的项数是确定的, 项数等于二次型的秩 当变换为实变换时, 标准形中正系数和负系数的个数均是不变的 定理 ( 惯性定理 ) 设有二次型 f =x T Ax, 它的秩为 r, 如果有两个实的可逆变换 x=c y 及 x=c z 分别使 f =k

More information

Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th

Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th 计算机组成原理习题课 1 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 1 练习 : 机器数的表示和相互转化 练习 1: 当十六进制数 9B 和 FF 分别表示为原码 补码 反码 移码和无符号数时, 所对应的十进制数各为多少 ( 设机器数采用一位符号位 )? 16 进制 真值 无符号数 原码 ( 真值 ) 反码 ( 真值 ) 补码 ( 真值 ) 移码 ( 真值 ) 9BH 二进制十进制

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

Microsoft PowerPoint - 概率统计Ch02.ppt [Compatibility Mode]

Microsoft PowerPoint - 概率统计Ch02.ppt [Compatibility Mode] 66 随机变量的函数.5 随机变量的函数的分布 设 是一随机变量, 是 的函数, g(, 则 也是一个随机变量. 本节的任务 : 当 取值 x 时, 取值 y g 67 ( 一 离散型随机变量的函数 设 是离散型随机变量, 其分布律为 或 P { x } p (,, x x, P p p, x p 已知随机变量 的分布, 并且已知 g 要求随机变量 的分布. (, 是 的函数 : g(, 则 也是离散型随机变

More information

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041 图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, 2005.4 ( 沈郎文字 ) ISBN 7-5343-6512-0 Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041843 号 出版者社址网址出版人 南京市马家街 31 号邮编 :210009 http://www.1088.com.cn

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Microsoft Word - zw

Microsoft Word - zw 第 1 章单片机基础知识概述 内容概述 : 本章主要介绍单片机的定义 发展历史, 单片机分类方法 应用领域及发展趋势, 单片机中数的表示和运算方法, 基本逻辑门电路, 以及与单片机系统仿真工具 Proteus 相关的内容 教学目标 : 了解单片机的概念及特点 ; 掌握单片机中数的表示和运算方法及基本逻辑门电路 ; 初步了解 Proteus 软件的功能 1.1 单片机概述 1.1.1 单片机及其发展概况

More information

第4章 信源及压缩编码

第4章  信源及压缩编码 第 4 章 信 源 及 压 缩 编 码 4. 概 述 4.2 语 音 信 号 的 特 征 4.3 语 音 编 码 4.4 图 像 信 号 的 特 征 4.5 图 像 压 缩 编 码 4.6 数 据 信 号 编 码 4. 概 述 现 代 通 信 系 统 的 一 个 重 要 标 志 是 信 源 信 号 传 输 系 统 交 换 系 统 和 信 号 处 理 等 诸 环 节 实 现 了 数 字 化 而 语 言

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

2

2 学习要求 (1) (2) (3) 内容简述 1 2 3 利率的计算 10 r 10% 100 110 110% 121 100 1 10% 2 4 121110% 13310 100 1 10% 3 n FV P0 1 r (11.12 10) (1 12%) 1 (1 12%) n1 (1 r) 1 S P[ 1] r 5 1 r FV A[ r n 1 ] 110 100 1 10% 100 100

More information

!"# $ %&'!"#$

!# $ %&'!#$ !"# $ %&'!"#$ 内容简介本书是在作者已经出版的 XilinxAlProgrammableZynq-7000SoC 设计指南 一书的基础上进行大幅度修订而成的 本书的一大特色就是更加突出 ARMCortex-A9 双核处理器的使用 此外, 在修订本书时采用了 Xilinx 最新的 Vivado2015 4 集成开发环境 通过本书的修订, 能反映最新的 ARM 嵌入式设计技术和实现方法, 同时也能更加凸显采用异构架构的

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

!"#$ %&' '!"#$!" #$ % %& ' %( ' )* #+,-.

!#$ %&' '!#$! #$ % %& ' %( ' )* #+,-. 图书在版编目 数据!"#$ $ %&' $&($('%&('&( ( ()( * ) (' +,#-./0 * + 1 & 责任编辑郑鸿特约编辑马健金 一石文化 装帧设计 陆智昌 一石文化 责任校对严道丽责任印制吴晓光出版发行四川出版集团四川教育出版社 地址成都市槐树街 号邮政编码 网址! " # 印 刷 四川福润印务有限责任公司 版 次 年 $ 月第 版 印 次 年 $ 月第 次印刷 成品规格 %##&##

More information

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 1 TEMPLATE 1 Template 描述 使用模板函数求最大值 使用如下 main 函数对程序进行测试 int main() { double a, b; cin >> a >> b; cout c >> d; cout

More information

Microsoft Word - FM{new}.doc

Microsoft Word - FM{new}.doc Lanczos 方法 Louis Komzsik 著张伟廖本善译 演变与应用 清华大学出版社 北京 内容简介 Lanczos 方法是 20 世纪计算数学方向最有影响的方法之一, 并且已经在工程中得到了广泛应用. 本书兼顾了 Lanczos 方法的理论演变和工程中的实际应用, 其内容分为两部分 : 第一部分阐述了方法的演变, 并提供了具体算法 ; 第二部分讨论了工业中的实际应用, 包括常用的模态分析

More information

图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, ISBN Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 04185

图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, ISBN Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 04185 图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, 2005.4 ISBN 7-5343-6528-7 Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 041850 号 出版者社址网址出版人 南京市马家街 31 号邮编 :210009 http://www.1088.com.cn

More information

计算机组成原理

计算机组成原理 Computer Orgaizatio Priciples 计算机组成原理 主讲教师 : 孙鑫 (suxi@ouc.edu.c) ( 信息学院南楼,B3 室 ) http://cvpr.ouc.edu.c/people/com/ For Studets of Computer 25 计算机硬件系统组成 ( 章节分配 ) 总线和I/O 接口第二部分 控制器 运算器 (5,6 章 ) 第三部分( 4 7

More information

教材 微型计算机与接口技术 ( 第二版 ) 科学出版社楼顺天, 周佳社, 张伟涛编著

教材 微型计算机与接口技术 ( 第二版 ) 科学出版社楼顺天, 周佳社, 张伟涛编著 微机原理与系统设计 授课老师 : 李军, 曾操 Email: junli01@mail.xidian.edu.cn Homepage: http://web.xidian.edu.cn/junli 办公室 : 新科技楼 1606 电话 :88201022 教材 微型计算机与接口技术 ( 第二版 ) 科学出版社楼顺天, 周佳社, 张伟涛编著 课程要求及考核 考核 : 笔试 (60%)+ 平时成绩 (40%)

More information

LF 打印并走一行

LF 打印并走一行 POS 1 HT 5 LF 4 FF 4 CR 4 NAK 22 CAN 8 DLE EOT 12 ESC FF 4 ESC DC2 11 ESC SP 8 ESC 8 ESC $ 6 ESC % 8 ESC & 9 ESC * 15 ESC - / 10 ESC 2 5 ESC 3 5 ESC 10 ESC @ 19 ESC D 5 ESC E / 10 ESC F 8 ESC G / 10 ESC

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

<4D F736F F F696E74202D20D0F7C2DB28B4F2D3A1B0E6292E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D0F7C2DB28B4F2D3A1B0E6292E BBCE6C8DDC4A3CABD5D> Digital Circuits and Systems 数字电路与系统 1 自我介绍 姓名 : 龚晓峰地址 : 创新园大厦 B509 邮件 :xfgong@dlut.edu.cn 科研 : 1. 阵列信号处理 2. 盲信号处理网页 :http://202.118.75.4/gong/ 课程邮箱 :u: digicircuits@126.com p: woyaokao100fen 2 Introduction

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Microsoft Word - 新2.doc

Microsoft Word - 新2.doc 第 2 章 数制转换与运算 本章介绍的 数制 ( 数据制式 ) 及其相关知识, 之所以要把它放在本书正式介绍网络技术之前, 是因为它是我们学习网络技术, 甚至今后要从事程序开发工作的基础和必备知识 数制 其实是数据结构中内容之一, 看似与网络关系不大, 但是它却实实在在地影响了我们日常的网络管理工作 在我们日常的网络管理中, 与数制关系最密切的要数 IP 地址的表示形式了 我们知道 IP 地址其实都是二进制的

More information

数学分析(I)短课程 [Part 2] 4mm 自然数、整数和有理数

数学分析(I)短课程 [Part 2]   4mm 自然数、整数和有理数 .. 数学分析 (I) 短课程 [Part 2] 自然数 整数和有理数 孙伟 华东师范大学数学系算子代数中心 Week 2 to 18. Fall 2014 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014 1 / 78 3. 自然数理论初步 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014

More information

求出所有的正整数 n 使得 20n + 2 能整除 2003n n 20n n n 20n n 求所有的正整数对 (x, y), 满足 x y = y x y (x, y) x y = y x y. (x, y) x y =

求出所有的正整数 n 使得 20n + 2 能整除 2003n n 20n n n 20n n 求所有的正整数对 (x, y), 满足 x y = y x y (x, y) x y = y x y. (x, y) x y = 求出所有的正整数 n 使得 20n + 2 能整除 2003n + 2002 n 20n + 2 2003n + 2002 n 20n + 2 2003n + 2002 求所有的正整数对 (x, y), 满足 x y = y x y (x, y) x y = y x y. (x, y) x y = y x y 对于任意正整数 n, 记 n 的所有正约数组成的集合为 S n 证明 : S n 中至多有一半元素的个位数为

More information

高等数学A

高等数学A 高等数学 A March 3, 2019 () 高等数学 A March 3, 2019 1 / 55 目录 1 函数 三要素 图像 2 导数 导数的定义 基本导数表 求导公式 Taylor 展开 3 积分 Newton-Leibniz 公式 () 高等数学 A March 3, 2019 2 / 55 函数 y = f(x) 函数三要素 1 定义域 2 值域 3 对应关系 () 高等数学 A March

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案... 12 Ⅵ. 参考书目...

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

Microsoft Word - 00封面

Microsoft Word - 00封面 核 准 文 號 : 教 育 部 104 年 3 月 27 日 臺 教 國 署 高 字 第 1040034407 號 函 核 定 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 (104 學 年 度 入 學 學 生 適 用 ) 中 華 民 國 103 年 3 月 27 日 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總

More information

图书在版编目渊 CIP 冤数据速成财富课院成就富翁的圆缘条法则 / 石向前著援北京院蓝天出版社袁 2005 援员园 ISBN 愿怨 -1 玉援速... 域援石... 芋援商业经营要通俗读物郁援 F71 缘原源怨中国版本图书馆 CIP 数据核字渊 2005 冤第 0 愿怨猿猿员号

图书在版编目渊 CIP 冤数据速成财富课院成就富翁的圆缘条法则 / 石向前著援北京院蓝天出版社袁 2005 援员园 ISBN 愿怨 -1 玉援速... 域援石... 芋援商业经营要通俗读物郁援 F71 缘原源怨中国版本图书馆 CIP 数据核字渊 2005 冤第 0 愿怨猿猿员号 25 图书在版编目渊 CIP 冤数据速成财富课院成就富翁的圆缘条法则 / 石向前著援北京院蓝天出版社袁 2005 援员园 ISBN 7-80158-6 愿怨 -1 玉援速... 域援石... 芋援商业经营要通俗读物郁援 F71 缘原源怨中国版本图书馆 CIP 数据核字渊 2005 冤第 0 愿怨猿猿员号 蓝天出版社出版发行渊北京复兴路 14 号冤渊邮政编码院 100843 冤电话院 66983715

More information

<4D F736F F D20B5DACAAED5C220CBABCFDFD0D4BAAFCAFDA3A8BDB2D2E5A3A92E646F63>

<4D F736F F D20B5DACAAED5C220CBABCFDFD0D4BAAFCAFDA3A8BDB2D2E5A3A92E646F63> 高等代数第十章双线性函数 第十章双线性函数 10.1 线性函数 1. 设 V 是数域 F 上的一个线性空间, f 是 V 到 F 的一个映射, 若 f 满足 : (1) f( α + β) = f( α) + f( β); (2) f( kα) = kf( α), 式中 α, β 是 V 中任意元素, k 是 F 中任意数, 则称 f 为 V 上的一个线性函数. 2. 简单性质 : 设 f 是 V

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

,,,,,,, ;,, ;, ;, (, / ),, ;,,.,,,,,,,,,,,,,,,,, ;,,,,,,, 1, :,,, ;,,,, (, ),,,,, 1,,, (,, )

,,,,,,, ;,, ;, ;, (, / ),, ;,,.,,,,,,,,,,,,,,,,, ;,,,,,,, 1, :,,, ;,,,, (, ),,,,, 1,,, (,, ) 刘世定 内容提要 : 本文在嵌入性视角的引导下, 进入关系合同理论领域 对关系合同的 分析, 以威廉姆森的合同治理结构理论作为基点 在分析了他的理论脉络和隐含假 设后, 本文提出了三个假定, 即约前关系导入 多元关系属性 对关系属性的有限控 制 在新的假设下, 首先讨论了合同治理结构和嵌入关系结构之间不同的对应关系, 并特别探讨了两者间的结构性摩擦 继而, 在关系合同的研究中引入了委托 - 代理关系,

More information

尿路感染防治.doc

尿路感染防治.doc ...1...1...2...4...6...7...7...10...12...13...15...16...18...19...24...25...26...27...28 I II...29...30...31...32...33...34...36...37...37...38...40...40...41...43...44...46...47...48...48...49...52 III...55...56...56...57...58

More information

PT-18R PT-18R () PT-18R (CCC)

PT-18R PT-18R () PT-18R (CCC) PT-18R PT-18R PT-18R () PT-18R (CCC) PT-18R Pb Hg Cd CrVI PBB PBDE SJ/T11363-2006 SJ/T11363-2006 1 ( PT-18R ) (+)(-) (+)(-) ( PT-18R ) AC AC AC AC AC AC 2 ( ) AC AC ( PT-18R ) ( PT-18R ) AC AC AC 3 TZ

More information

Ps22Pdf

Ps22Pdf 1 1 3 3 6 7 7 8 9 10 10 12 ( VSR) 16 19 19 21 22 22 22 23 23 23 23 23 24 27 27 28 29 29 30 31 31 32 32 32 33 34 41 41 42 44 44 44 47 48 48 48 49 50 51 52 52 54 54 58 58 59 60 61 61 62 63 64 64 64 65 65

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

? Ⅰ Ⅱ Ⅲ Ⅳ !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激 数字全加器操作说明书 本实验将分别采用 (1) 门电路 (2) 数据选择器 (3) 二进制译码器三种不同逻辑器件实现 1 位全加器的逻辑电路功能 具体的实验步骤及操作方法分述如下 1. 使用小规模集成电路 ( 门电路 ) 实现全加器 (1) 根据 1 位全加器的逻辑功能, 写出 1 位全加器的逻辑真值表, 如表 1 所示 输入 输出 表 1. 1 位全加器的逻辑真值表 A 0 0 0 0 1 1 1

More information

untitled

untitled 图书在版编目 (CIP) 数据 家居美化中的巧 / 陈赞等编著. 北京 : 中国林业出版社,2003.4 ISBN 7-5038-3399-8 I. 家 II. 陈 III. 住宅 室内装饰 基本知识 IV.TU241 中国版本图书馆 CIP 数据核字 (2003) 第 022376 号 版权所有翻印必究 1 2002.10 1 ...1...1...2...2...3...4...5...6...7...8...8...10...10...11...12...12...13...13...15...15...16...17...18...19...20...20...20...21...22

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

SuperMap 系列产品介绍

SuperMap 系列产品介绍 wuzhihong@scu.edu.cn 3 / 1 / 16 / John M. Yarbrough: Digital Logic Applications and Design + + 30% 70% 1 CHAPTER 1 Digital Concepts and Number Systems 1.1 Digital and Analog: Basic Concepts P1 1.1 1.1

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

Ps22Pdf

Ps22Pdf ,,,, : : (010 ) 84043279 13801081108 : (010 ) 64033424 E - mail: dd@ hep.com.cn : 55 : 100009 21, 21,,,,,, 8 EWB EDA, (CIP).,.:,2003.2 ISBN 7-04 - 011860-2......... - - - -. TM CIP (2003)000543 010-64054588

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ;

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ; 一 填空题 深度反馈 ; 同相输入点接地 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 5 8 ; 9 ; 大于 6 效率低 ; 甲乙类 7 ; 5 ; 8 低 9 晶体管结电容 9 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 8 共集 ; 共集 ; 共射 ; 共集 ; 共基 自由电子 ; 空穴 绝缘栅型 ; 电压 3 共射 4 输入 5 负反馈 二 分析判断题 解: 稳压管的最大稳定电流

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Program Guide(中文).PDF

Program Guide(中文).PDF RP-U420 LF FF CR RS ESC! ESC % ESC & ESC * ESC < ESC = ESC? ESC @ REC R ESC c 0 ESC c 3 ESC c 4 ESC c 5 ESC d n ESC f ESC o ESC p ESC t ESC z FS & FS. GS I GS V GS r DLE EOT DLE ENQ ID DLE DC4 ASCIIASCII

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information