Microsoft Word - 46FBA383-2BB7-2822AE.doc

Size: px
Start display at page:

Download "Microsoft Word - 46FBA383-2BB7-2822AE.doc"

Transcription

1 电子技术基础课程设计 (I) ( 基础训练部分 ) 张淑琴编撰 于 枫校审 吉林大学电子信息工程 2007 年 9 月

2 第一篇 课程设计的基础知识 电子技术基础课程设计包括选择课题 电子电路设计 组装 调试和编写总结报告等教学环节 本篇介绍课程设计的有关知识 l-l 电子电路的设计方法 在设计一个电子电路系统时, 首先必须明确系统的设计任务, 根据任务进行方案选择, 然后对方案中的各部分进行单元电路的设计 参数计算和器件选择, 最后将各部分连接在一起, 画出一个符合设计要求的完整的系统电路图 一 明确系统的设计任务要求对系统的设计任务进行具体分析, 充分了解系统的性 08 指标 内容及要求, 以便明确系统应完成的任务 二 方案选择这一步的工作要求是, 把系统要完成的任务分配给若干个单元电路, 并画出一个能表示各单元功能的整机原理框图 方案选择的重要任务是根据掌握的知识和资料, 针对系统提出的任务 要求和条件, 完成系统的功能设计 在这个过程中要敢于探索, 勇于创新, 力争做到设计方案合理 可靠 经济 功能齐全 技术先进 并且对方案要不断进行可行性和优缺点的分析 ; 最后设计出一个完整框图 框图必须正确反映系统应完成的任务和各组成部分的功能, 清楚表示系统的基本组成和相互关系 三 单元电路的设计 参数计算和器件选择根据系统的指标和功能框图, 明确各部分任务, 进行各单元电路的设计 参数计算和器件选择 1. 单元电路设计单元电路是整机的一部分, 只有把各单元电路设计好才能提高整体设计水平 每个单元电路设计前都需明确本单元电路的任务, 详细拟定出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式 具体设计时, 可以模仿成熟的先进的电路, 也可以进行创新或改进, 但都必须保证性能要求 而且, 不仅单元电路本身要设计合理, 各单元电路间也要互相配合, 注意各部分的输入信号 输出信号和控制信号的关系 2. 参数计算 (1) 元器件的工作电流 电压 频率和功耗等参数应能满足电路指标的要求 ; (2) 元器件的极限参数必须留有足够裕量, 一般应大于额定值的 1.5 倍 ; (3) 电阻和电容的参数应选计算值附近的标称值 3. 器件选择 (1) 阻容元件的选择 : 电阻和电容种类很多, 正确选择电阻和电容是很重要的 不同 1

3 的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻 电容的性能和容量要求很高, 例如滤波电路中常用大容量 (100μF 一 3000μF) 铝电解电容, 为滤掉高频通常还需并联小容量 (0.01μF~0.1μF) 瓷片电容 设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗 容量 频率和耐压范围是否满足要求 (2) 分立元件的选择 : 分立元件包括二极管 晶体三极管 场效应管 光电二 ( 三 ) 极管 晶闸管等 根据其用途分别进行选择 选择的器件种类不同, 注意事项也不同 例如选择晶体三极管时 首先注意是选择 NPN 型还是 PNP 型管, 是高频管还是低频管, 是大功率管还是小功率管. 并注意管子的参数 P CM I CM BV CEO BV EBO I CBO f T 和 f e 是否满足电路设计指标的要求, 高频工作时, 要求 f T = (5~10)f,f 为工作频率 (3) 集成电路的选择 : 由于集成电路可以实现很多单元电路甚至整机电路的功能, 所以选用集成电路来设计单元电路和总体电路既方便又灵活, 它不仅使系统体积缩小. 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎 集成电路有模拟集成电路和数字集成电路 国内外巳生产出大量集成电路, 器件的型号 原理 功能 特性可查阅有关手册 选择的集成电路不仅要在功能和特性上实现设计方案, 而且要满足功耗 电压 速度 价格等多方面的要求 四 电路图的绘制为详细表示设计的整机电路及各单元电路的连接关系, 设计时需绘制完整电路图 电路图通常是在系统框图 单元电路设计 参数计算和器件选择的基础上绘制的, 它是组装 调试和维修的依据 绘制电路图时要注意以下几点 : (1) 布局合理 排列均匀 图面清晰 便于看图 有利于对图的理解和阅读 有时一个总电路由几部分组成, 绘图时应尽量把总电路面在一张图纸上. 如果电路比较复杂, 需绘制几张图, 则应把主电路画在同一张图纸上, 而把一些比较独立或次要的部分画在另外的图纸上, 并在图的断口两端做上标记, 标出信号从一张图到另一张图的引出点和引入点, 以此说明各图纸在电路连线之间的关系 有时为了强调并便于看清各单元电路的功能关系, 每一个功能单元电路的元件应集中布置在一起, 并尽可能按工作顺序排列 (2) 注意信号的流向 一般从输入端或者信号源画起, 由左至右或者由上之下地按照信号的流向依次画出各单元电路, 而反馈通路的信号流向则与此相反 (3) 图形符号要标准, 图中应加适当的标注 图形符号表示器件的项目或概念, 电路图中的中 大规模集成电路器件, 一般用方框表示, 在方框中标出它的型号, 在方框的边线两侧标出每根线的功能名称和管脚号 除中 大规模器件外 其余元器件符号应当标准化 (4) 连接线应为直线, 并且交叉和折弯应最少 通常连接线可以水平布置或垂直布置, 一般不画斜线 互相连通的交叉线, 应在交叉处用圆点表示 根据需要, 可以在连接线上加注信号名或其他标记, 表示其功能或其去向 有的连线可用符号表示, 例如器件的电源一般标电源电压的数值. 地线用符号上表示 设计的电路是否能满足设计要求, 还必须通过组装 调试进行验证 2

4 1-2 电子电路的组装 调试与总结 电子电路设计好后, 便可进行组装 调试, 最后对课题内容进行全面总结 一 电子电路的组装 电子技术基础课程设计中组装电路通常采用焊接和在实验箱上插接两种方式 焊接组装可提高学生焊接技术, 但器件可重复利用率低 在实验箱上组装, 元器件便于插接且电路便于调试, 并可提高器件重复利用率 下面介绍在实验箱上用插接方式组装电路的方法 1. 集成电路的装插插接集成电路时首先应认清方向, 不要倒插, 所有集成电路的插八方向要保持一致, 注意管脚不能弯曲 2. 元器件的位置根据电路图的各部分功能确定元器件在实验箱的插接板上的位置, 并按信号的流同将元器件顺序地连接 以易于调试 3. 导线的选用和连接导线直径应和插接板的插孔直径相一致, 过粗会损坏插孔, 过细则与插孔接触不良 为橙壹电路的方便, 根据不同用途. 导线可以选用不同的颜色 一般习惯是正电源用红线, 负电源用蓝线, 地线用黑线, 信号线用其他颜色的线等 连接用的导线要求紧贴在插接板上, 避免接触不良. 连线不允许跨接在集成电路上, 一般从集成电路周围通过, 尽量做到横平竖直, 这样便于查线和更换器件 组装电路时注意, 电路之间要共地 正确的组装方法和合理的布局, 不仅使电路整齐美观, 而且能提高电路工作的可靠性, 便于检查和排除故障 二 电子电路的调试 通常有以下两种调试电路的方法 : 第一种是采用边安装边调试的方法 把一个总电路按框图上的功能分成若干单元电路分别进行安装和调试, 在完成各单元电路调试的基础上逐步扩大安装和调试的范围, 最后完成整机调试 对于新设计的电路, 此方法既便于调试, 又可及时发现和解决问题 该方法适于课程设计中采用 第二种方法是整个电路安装完毕, 实行一次性调试 这种方法适于定型产品 调试时应注意做好调试记录, 准确记录电路各部分的测试数据和波形, 以便于分析和运行时参考 一般调试步骤如下 : 1. 通电前检查电路安装完毕, 首先直观检查电路各部分接线是否正确, 检查电源 地线 信号线 元器件引脚之间有无短路, 器件有无接错 2. 通电检查 3

5 接入电路所要求的电源电压, 观察电路中各部分器件有无异常现象 如果出现异常现象, 则应立即关断电源, 待排除故障后方可重新通电 3. 单元电路调试在调试单元电路时应明确本部分的调试要求. 按调试要求测试性能指标和观察波形 调试顺序按信号的流向进行 这样可以把前面调试过的输出信号作为后一级的输入信号, 为最后的整机联调创造条件 电路调试包括静态和动态调试, 通过调试掌握必要的数据 波形 现象, 然后对电路进行分析 判断 排除故障, 完成调试要求 4. 整机联调各单元电路调试完成后就为整机调试打下了基础 整机联调时应观察各单元电路连接后各级之间的信号关系. 主要观察动态结果, 检查电路的性能和参数, 分析测量的数据和波形是否符合设计要求, 对发现的故障和问题及时采取处理措施 电路故障的排除可以按下述 8 种方法进行 : 信号寻迹法寻找电路故障时, 一般可以按信号的流程逐级进行 从电路的输入端加入适当的信号 用示波器或电压表等仪器逐级检查信号在电路内务部分传输的情况, 根据电路的工作原理分析电路的功能是否正常, 如果有问题, 应及时处理 调试电路时也可从输出级向输入级倒推进行, 信号从最后一级电路的输入端加入, 观察输出端是否正常, 然后逐级将适当信号加入前面一级电路输入端, 继续进行检查 这里所指的 适当信号 是指频率 电压幅值等参数应满足电路要求, 这样才能使调试顺利进行 对分法把有故障的电路分为两部分, 先检测这两部分中究竟是哪部分有故障, 然后再对有故障的部分对分检测, 一直到找出故障为止 采用 对分法 可减少调试工作量 分割测试法对于一些有反馈的环形电路, 如振荡器 稳压器等电路, 它们各级的工作情况互相有牵连, 这时可采取分割环路的方法, 将反馈环去掉, 然后逐级检查, 可更快地查出故障部分 对自激振荡现象也可以用此法检查 电容器旁路法如遇电路发生自激振荡或寄生调幅等故障, 检测时可用一只容量较大的电容器并联到故障电路的输入或输出端, 观察对故障现象的影响, 据此分析故障的部位 在放大电路中, 旁路电容失效或开路, 使负反馈加强, 输出量下降, 此时用适当的电容并联在旁路电容两端, 就可以看到输出幅度恢复正常, 也就可断定旁路电容的问题. 这种检查可能要多处试验才有结果, 这时要细心分析可能引起故障的原因 这种方法也用来检查电源滤波和去耦电路的故障 对比法将有问题的电路的状态, 参数与相同的正常电路进行逐项对比. 此方法可以较快地从异常的参数中分析出故障替代法把已调试好的单元电路代替有故障或有疑问的相同的单元电路 ( 注意共地 ), 这样可以很快判断故障部位 有时元器件的故障不很明显, 如电容器漏电 电阻变质, 晶体管和集成电路性能下降等, 这时用相同规格的优质元器件逐一替代实验, 就可以具体地判断故障点, 加快查找故障点的速度, 提高调试效率 静态测试法故障部位找到后, 要确定是哪一个或哪几个元件有问题, 最常用的就是静态测试法和动态测试法, 静态测试是用万用表测试电阻值 电容器漏电, 电路是否断路或短路, 晶体管和集成电路的各引脚电压是否正常等. 这种测试是在电路不加信号时进行的, 所以叫静态测试 通过这种测试可发现元器件的故障 4

6 动态测试法当静态测试还不能发现故障原因时, 可以采用动态测试法. 测试时在电路输入端加上适当的信号再测试元器件的工作情况, 观察电路的工作状况, 分析 判别故障原因 组装电路要认真细心, 要有严谨的科学作风 安装电路要注意布局合理. 调试电路要注意正确使用测量仪器, 系统各部分要 共地, 调试过程中不断跟踪和记录观察的现象 测量的数据和波形 通过组装调试电路, 发现问题 解决问题, 提高设计水平, 圆满地完成设计任务 三 课程设计总结报告 编写课程设计的总结报告是对学生写科学论文和科研总结报告的能力训练. 通过写报告, 不仅把设计 组装 调试的内容进行全面总结, 而且把实践内容上升到理论高度. 总结报告应包括以下几点 : (1) 课题名称 (2) 内容摘要 (3) 设计内容及要求 (4) 比较和选定设计的系统方案, 画出系统框图 (5) 单元电路设计 参数计算和器件选择 (6) 画出完整的电路图, 并说明电路的工作原理 (7) 组装调试的内容 包括 : 1 使用的主要仪器和仪表 2 调试电路的方法和技巧 3 测试的数据和波形并与计算结果比较分析 4 调试中出现的故障 原因及排除方法 (8) 总结设计电路的特点和方案的优缺点, 指出课题的核心及实用价值, 提出改进意见和展望 (9) 列出系统需要的元器件 (10) 列出参考文献 (11) 收获 体会 1-3 电子电路的抗干扰措施 电子电路的工作可靠性是由多种因素决定的, 其中, 电路的抗干扰性能是电子电路可靠性的重要指标 因此, 研究抗干扰技术也是电子技术基础课程设计的重要内容 在分析干扰时 ; 要弄清形成干扰的三要素, 即干扰源 ( 噪声源 ), 接收电路和它们之间的耦合方式. 常见干扰有供电系统的电源干扰 电磁场干扰和通道干扰等 抑制干扰主要从形成干扰的三方面采取措施 (1) 消除和抑制噪声源 5

7 (2) 破坏干扰通道 (3) 削弱接收电路对噪声干扰信号的敏感性 目前广泛采用的抗干扰措施有以下几种方法 : 一 供电系统抗干扰措施 任何电源及输电线路都存在内阻, 正是这些内阻引进了电源的噪声干扰 如果无内阻存在, 任何噪声都会被电源短路吸收, 在线路中不会建立任何干扰电压 为保证电子线路正常工作, 防止从电源引入干扰, 可采取以下措施 : 1. 采用交流稳压器供电用交流稳压器供电可保证供电的稳定性, 防止电源系统的过压与欠压, 有利于提高整个系统的可靠性 2. 采用隔离变压器供电由于高频噪声通过变压器引入电路, 主要不是靠初 次级线圈的互感耦合, 而是靠初 次级间寄生电容耦合的. 故隔离变压器的初级和次级间均用屏蔽层隔离, 以减少其分布电容, 提高抗共模干扰的能力 3. 加装滤波器 (1) 低通滤波器 : 电源系统的干扰源大部分是高次谐波, 因此采用低通滤波器滤去高次谐波, 以改善电源波形 (2) 交流电源进线的对称滤波器 : 根据要求可以采用对高频噪声干扰抑制有效的高频干扰电压对称滤波器, 也可采用低频干扰电压对称滤波器 (3) 直流电源出线的滤波器 : 为减弱公用电源内阻在电路间形成的噪声耦合, 在直流电源输出端需加装高 低通滤波器 (4) 退耦滤波器 : 一个直流电源同时对几个电路供电, 为了避免通过电源内阻造成几个电路之间互相干扰, 应在每个电路的直流电源进线处加装,π 型 RC 或 LC 退耦滤波器 4. 采用分散独立电源功能块供电在每个功能电路上用三端稳压集成块如 等组成稳压电源. 每个功能块单独有电压过载保护, 不会因某块稳压电源故障而使整个系统破坏, 而且也减少了公共阻抗的相互耦合以及和公共电源的相互耦合, 大大提高了供电的可靠性, 也有利于电源散热 5. 采用高抗干扰稳压电源及干扰抑制器采用超隔离变压器稳压电源 这种电源具有高的共模抑制比及串模抑制比, 能在较宽的频率范围内抑制干扰 采用反激变换器的开关稳压电源 利用该电源的变换器的储能作用, 在反激时把输入的干扰信号抑制掉 采用频谱均衡法原理制成的干扰抑制器, 把干扰的瞬变能量转换成多种频率能量, 达到均衡目的 它的明显优点是抗电网瞬变干扰能力强 6

8 二 屏蔽技术 防止静电或电磁的相互感应所采用的方法称之为 屏蔽 屏蔽的目的就是隔断 场 的耦合 1. 静电屏蔽静电屏蔽是利用与大地相连接的导电性良好的金属容器, 使静电场的电力线在接地的导体处中断, 即内部的电力线不外传而外部的电力线也不影响其内部, 起到隔离电场的作用 静电屏蔽能防止静电场的影响, 在实际布线中如果在两导线之间敷设一条接地导线, 可以削弱两导线之间由于寄生分布电容耦合而产生的干扰 ; 也可将具有静电耦合的两个导体在间隔保持不变的条件下靠近大地, 其耦合也将减弱 2. 电磁屏蔽采用导电性能良好的金属材料做成屏蔽层, 利用高频电磁场对屏蔽金属的作用, 使高频干扰电磁场在屏蔽金属内产生涡流, 而此涡流产生的磁场又抵消或减弱高频干扰磁场的影响 这种利用涡流反磁场作用的电磁屏蔽, 在原理上与屏蔽体是否接地无关, 但一般在实际使用时屏蔽体经常接地, 这样又可同时起到静电屏蔽的作用 3. 低频磁屏蔽采用高导磁材料作屏蔽层, 以便将干扰磁通限制在磁阻很小的磁屏蔽体的内部, 防止其干扰 一般选取坡莫合金类 对低频磁通具有高导磁率的铁磁材料, 同时要有一定的厚度以减小磁阻 目前, 铁氧体压制成的罐型磁芯也用作低频磁屏蔽或电磁屏蔽 设计磁屏蔽罩时, 要注意其开口和接缝不要横过磁力线的方向以免增加磁阻, 破坏屏蔽性能 4. 屏蔽规则 (1) 静电屏蔽罩必须与被屏蔽电路的零信号基准电位线相接 (2) 零信号基准电位线的相接点必须保证干扰电流不流经信号线 由此可见, 要求屏蔽的连接应使屏蔽线上的寄生电流直接泄漏到接地点 三 接地接地是抑制干扰的重要方法, 如能将接地和屏蔽正确结合起来, 就可解决大部分干扰问题 在电子电路中, 地线有系统地 机壳地 ( 屏蔽地 ) 数字地( 逻辑地 ) 和模拟地等. 如果一个电路有两点或两点以上接地, 则由于两点间的地电位差而会引起干扰, 因此一般采用 单点接地. 1. 单点接地多级电路通过公共接地母线后再在一点接地, 如图 1 3 1(a) 所示 此方法虽然避免了多点接地因地电位差所引起的干扰, 但在公共地线上却存在着 A B 和 C 三点不同的对地电位差 如果各级电平相差不大, 这种接地方式可以使用, 反之则不能使用 因为高电平会产生较大的地电流, 并且使这个干扰窜入到低电平电路中去 这种接地方式仅限于级数不多 各级电平相差不大或抗干扰能力较强的数字电路 7

9 图 1 3-1(b) 图是另一种单点接地方式 此时,A B 和 C 三点对地电位只与本电路的地电流和地线阻抗有关, 各电路之间的电流不形成耦合, 该种接地方式一般用于工作频率在 1MHz 以下的电路 图 多级电路的单点接地 2. 数字 模拟电路的接地分开一个系统既有高速数字电路, 又有模拟电路, 为避免数字电路对模拟电路的工作造成干扰, 两者的地线不要相混, 而应分别与电源端地线相连 四 传输通道的抗干扰措施 在电子电路信号的长线传输过程中会产生通道干扰 为了保证长线传输的可靠性, 主要措施有光电耦合隔离 双绞线传输等 1. 光电耦合隔离 采用光电耦合器可有效地切断地环路电流的干扰, 如图 l-3-2 所示, 电路 1 和电路 2 之间采用光电耦合, 可把两个电路的地电位完全隔离, 即使两个电路的地电位不同也不致于造成干扰 图 光电耦合隔离示意图 光电耦合隔离的主要优点是能有效地抑制尖峰脉冲及各种噪声干扰, 具有很强的抗干扰能力 2. 双绞线传输系统的长线传输中, 双绞线是常用的一种传输线 它的缺点是频带较窄, 优点是波阻抗高, 抗共模噪声能力强 双绞线能使各个小环路的电磁感应干扰相互抵消 ; 其分布电容为几十皮法 (pf), 距离信号源近, 可起到积分作用, 故双绞线对电磁场具有一定抑制效果 8

10 五 抗干扰的其他常用方法 (1) 在电路的关键部位配置去耦电容, (2) CMOS 芯片的输入阻抗很高, 使用时, 对其不用端应根据功能接地或接正电源 (3) TTL 器件的多余输入端不能悬空, 应根据其功能进行处理 (4) 按钮 继电器 接触器等元件的接点在动作时均会产生火花, 必需用 RC 电路加以吸收 1-4 电子电路设计举例 峰值检测系统 本节通过 峰值检测系统 课题的设计, 具体说明电子电路的设计方法和步骤 一 明确课题设计要求在科研 生产各个领域都会用到峰值检测设备, 例如检测建筑物的最大承受力, 检测钢丝绳允许的最大拉力等等. 准确测量峰值对有关课题的研究是有重要意义的 该课题的设计内容及要求如下 : (1) 用传感器和检测电路测量某建筑物的最大承受力 传感器的输出信号为 0mV~ 5mV,lmV 等效于 400kg (2) 测量值用数字显示, 显示范围为 (3) 峰值电压保持稳定 二 方案选择峰值检测系统有多种实现方案, 下面介绍两种方案 方案一本课题的关键任务是检测峰值并使之保持稳定, 且用数字显示峰值. 该方案用采样 / 保持峰值电路, 通过数据锁存控制电路锁存峰值的数字量 方案一的框图如图 所示 它由传感器 放大器 采样 / 保持 采样 / 保持控制电路 A/D( 模数转换 ) 译码显示 数字锁存控制电路组成 各组成部分的作用是 : 图 峰值检测系统的方案一框图 (1) 传感器 : 把被测信号量转换成电压量 9

11 (2) 放大器 : 将传感器输出的小信号放大, 放大器的输出结果满足模数转换器的转换范围 (3) 采样 / 保持 : 对放大后的被测模拟量进行采样, 并保持峰值 (4) 采样 / 保持控制电路 : 该电路通过控制信号实现对峰值采样, 小于原峰值时, 保持原峰值, 大于原峰值时保持新的峰值 (5)A/D 转换 : 将模拟量转换成数宇量 (6) 译码显示 : 完成峰值数字量的译码显示 (7) 数字锁存控制电路 : 对模数转换的峰值数字量进行锁存, 小于峰值的数字量不能锁存 模数转换和译码显示构成数字显示表头 ( 图 的虚线部分 ) 方案二为实现峰值检测, 该方案采用数字式峰值保持器, 该部分是图 所示的虚线部分 图 峰值检测系统的方案二框图 方案二由传感器 放大器 数字式峰值保持器和译码显示器组成 该方案中除数字式峰值保持器外, 其余三部分和方案一相同 数字式峰值保持器由比较器 控制门 方波发生器 计数器和 D/A 组成, 各部分功能如下 : (1) 比较器 : 比较 U i 和 U O 的大小. 当 U i >U o 时, 比较器输出高电平, 打开控制门, 时钟脉冲进入计数器. 当 U i <U o 时, 比较器输出低电平, 封闭控制门, 计数器停止计数 (2) 方波发生器 : 产生一定频率的方波信号, 作为控制门的时钟脉冲信号 (3) 控制门 : 控制时钟脉冲进入计数器. 当比较器输出高电平时, 该门开启, 时钟脉冲进入计数器, 当比较器输出低电平时, 该门关闭 (4) 计数器 : 被测信号的峰值通过控制门使时钟脉冲进入计数器, 输出数字量, 供给译码显示电路显示峰值 计数器保持的数码反映被检测信号的峰值 (5)D/A: 计数器的数字量送入 D/A 转换器后, 进行数模转换 D/A 输出模拟量 U O 和被测量 U i 比较, 由比较结果 1 或 0 决定计数器计数还是保持峰值 综上所述, 方案一和方案二都可以将峰值保持并以数字形式输出 方案一是对模拟 10

12 量进行采样 / 保持并锁存峰值的数字量, 它可以采用集成采样 / 保持电路和大规模集成电路 3 位半 A/D 转换器 方案二是采用数字式峰值保持器, 主要电路是计数器和 D/A 转换, 随着输出的位数增多, 需要的器件也跟着增多. 比较两个方案可知, 前者比后者电路简单, 采用的器件先进, 所用元器件少, 且容易实现. 因此方案一可选作系统设计方案 三 单元电路设计 参数计算和器件选择 根据方案一框图, 分别说明各单元电路的设计 参数计算和器件选择 1. 放大电路由于输入信号为 0-5mV, 则若选用 3 位半 A/D, 数字表头显示将是 , 由于传感器输出 1mV 等效于 400kg, 则 5mV 等效于 2000kg, 因而选用放大倍数 A u =400 倍的放大电路就能完成系统对小信号放大的要求 (1) 选择电路 : 放大电路种类很多, 为将传感器输出的微弱信号进行放大, 采用高精度数据放大器, 如图 所示 该电路中 A i, 和 A o 的失调电压量值和方向相同, 可以互相抵消, 所以此种电路精度很高. 这种高精度数据放大器对完成弱信号的放大有重要作用 图 高精度数据放大器 (2) 参数计算 : 由于 U i =U x =0~5mV,U o1 =2V, 根据公式 : U o R4 2R 1 2 ), R4 2R2 = ( 1+ 将 U i与 U o1的数值代入, 有 400 = (1 + ) U R R R R i

13 大倍数 放大器为完成 400 倍的放大, 分配第一级放大器放大倍数 2 R 1+ 2 = 8, 分配第二级放 R R R 4 = 50 =, 则电阻的阻值分别为 R 1 =1.6kΩ, R 2 =5.6kΩ, R 3 =2kΩ, R 4 =100kΩ 3 (3) 器件选择 :R 1,R 2,R 3 和 R 4 都选 1/8W 金属膜电阻, 其标称值分别为 1.6kΩ,5.6k Ω,2kΩ 和 100kΩ A1,A2 和 A3, 选用 μa741 型运算放大器 由于 μa741 具有很高的输入共模电压和输入差模电压范围 具有失调电压调整能力和短路保护功能 功耗较低 电源电压适应范围较宽等特点, 所以该放大电路采用此器件比较合适 2. 采样 / 保持电路该电路的核心器件选用 LF398 采样 / 保持集成电路芯片, 它具有体积小 功能强 运行稳定可靠等优点 它的功能是对模拟信号进行采样和存储. 具体电路如图 所示 LF398 的 8 脚是采样 / 保持的逻辑控制脚, 当该脚输入高电平时,LF398 进行采样, 输入低电平时保持 保持时, 回路阻抗很大, 故保持能力很强 ; 采样时, 输入信号使采样 / 保持电容 C H 迅速充电到 U i C H 的质量对电路的性能影响很大, 一般对此电容要求很高, 如要求它的绝缘电阻大 漏电小 可选用有机薄膜介质电容, 如聚苯乙烯和聚丙烯电容, 取 C H =0.1 μf 3. 采样 / 保持控制电路 采样 / 保持控制电路可选用比较电路, 如图 l-4-5 所示 比较电路将 LF398 的输入端电压与输出端电压相比较, 产生一个控制信号 U k, 用 U k 控制 LF398 的逻辑控制脚 1 图 采样保持电路 图 采样 / 保持控制电路 当 U i >U o2 时, 比较器输出 U k 为高电平, 使 LF398 采样 当 U i <U o2 时, 比较器输出 U k 为低电平, 使 LF398 保持 图 中, 二极管保证输出低电平时, 输出端箝位于 0 电平 ( 管压降忽略 ) U k 还用来控制数字锁存控制电路 比较器选用运算放大器 μa741 二极管选普通硅二极管 2CKll 4 数字显示表头电路数字显示表头电路由 A/D 转换和译码显示两部分组成 ( 见图 1-4-1) 该电路可采用 3 位半数字电压表电路, 具体电路参见课题二的图 选择器件如下: 12

14 三位半 A/D 转换器 MCl4433, 七路达林顿驱动器 MCl413,BCD 到七段锁存 - 译码 - 驱动器 CD4511, 能隙基准电源 MCl403 和四个共阴极 LED 发光数码管 注意数字显示表头电路中 MCl4433 的 EOC 和 DU 端不是直接相连, 而是通过数字锁存控制电路连接. 该表最大量程为 1999kg, 以 代表 1999kg, 小数点不用显示 5. 数字锁存控制电路数字锁存控制电路是保证 A/D 转换的峰值数字被锁存在三位半 A/D 的输出锁存器内 为完成峰值锁存必须掌握 A/D 转换器两个管脚的功能, 其中一个管脚是数字显示更新输入控制端 DU, 另一个管脚是转换周期结束标志输出端 EOC DU 的功能是 : 当 DU 的电平为 1 时,A/D 转换结果被送入输出锁存器 ; 当 DU 的电平为 0 时,A/D 转换器仍输出锁存器中原来的转换结果 EOC 的功能是, 每一个 A/D 转换周期结束时,EOC 端输出一个正脉冲 通常电路利用 EOC 端的输出控制 DU, 则每次 A/D 转换结果都会被输出, 而峰值检测电路只允许峰值结果输出, 小于峰值则不输出 所以电路必须设置在峰值时,EOC 的输出 1 才能控制 DU 考虑三位半 A/D 转换器转换周期为 s, 当峰值信号来到时, 应允许 EOC 3 1 的输出在 s 内控制 DU 端 由于采样 / 保持电路能在 A/D 转换周期内保持峰值的模拟量, 3 所以在 A/D 转换周期间峰值数据不会受影响, 经过前面分析, 设计数字锁存控制电路 (1) 电路设计, 设计的数字锁存控制电路如图 所示 电路由单稳态延时电路 C 或门 G A 和与门 G B 组成 图 中输入信号 U k 来自比较器的输出,U k =1 表示峰值采样, U k =0 峰值保持 电路工作情况如下 : 1 当 U k =1 时, 或门 G A 输出 1, 允许 U EOC 通过与门 G B, 若 U EOC 是高电平, 则 U DU 也是高电平 U DU 可以控制 DU 端, 峰值数据被锁存在 A/D 转换器的输出锁存器中 图 数字锁存控制电路 13

15 图 峰值检测系统原理图 14

16 2 当 U k 由高电平变成低电平时, 单稳态触发器 C 的 3 端是下降沿触发的脉冲展宽延时电路输入端, 在输入脉冲作用下,U o 在 1/3s 内仍保持高电平 在 1/3s 内 U o 使或门 G A 输出 1, 此间 EOC 的输出电平 U EOC 能通过与门 G B,U EOC 是高电平时,U DU 也是高电平,U DU 也能控制 DU 端, 使输出锁存器锁存峰值数据 3 当 U k =0V,U o =0V 时, 或门 G A 输出为 0, 封锁与门 G B,U EOC 不能通过与门 G B, 与门 G B 的输出 U DU 为低电平,U DU 封锁 A/D 转换器的输出锁存器, 输出锁存器仍输出原来的峰值数据 (2) 参数计算 : 单稳态触发器 3 脚输入信号 U k 由高电平变为低电平时, 使输出脉冲 U o 延时 1/3s 的高电平, 数字锁存控制电路就能控制 A/D 的输出锁存器锁存峰值数据 输出脉冲的延时时间 T x =1/3s 由外接部件 R T 和 C Ext 的数值大小所决定 根据公式 T x =C Ext R T ln2=0.7c Ext R T ; 取 C ext =lμf, 将 T x =1/3s,C Ext =1μF 代入上式, 得 1/3s = 0.7R T 10-6 s, 即 R T = 476kΩ 取标称值 R T = 510kΩ (3) 器件选择 : 单稳态触发器选 74LSl21, 或门选 74LS32, 与门选 74LS08 C Ext 选 lμf 的聚苯乙烯电容,R T 选 510kΩ 的金属膜电阻 四 绘制整机电路图 根据方案一的框图和设计的各部分单元电路, 绘制出本课题的整机电路图, 如图 所示 图中正电源用 +5V, 负电源用一 5V 上例表明了一般设计电子电路的方法和全过程 读者欲想掌握和提高设计水平, 必须在课题设计中去实践, 在后续篇中给出了各类课题供选择 参考文献 *1 康华光主编, 电子技术基础 ( 模拟部分 ), 第四版, 北京 : 高等教育出版社,1999 *2 康华光主编, 电子技术基础 ( 数字部分 ), 第四版, 北京 : 高等教育出版社, 童诗白主编, 模拟电子技术基础, 第三版, 北京 : 高等教育出版社, 阎石主编, 数字电子技术基础, 第四版, 北京 : 高等教育出版社, 孙梅生 李梅莺 徐搌英编, 电子技术基础课程设计, 北京 : 高等教育出版社, 张志悦, 邹延引编, 数字电路设计与实用电路, 广州 : 华南理工大学出版社, 陈汝全主编, 电子技术常用器件应用手册, 北京理工大学出版社, [ 美 ]David F.Stout 著, 龚启墉, 朱征云, 彭湘军译, 微电路设计和应用手册, 北京 : 国防工业出版, 绳广基编著, 数字逻辑电路设计与实验, 上海交通大学出版社, 贺天枢主编, 国家标准电气制图应用指南, 北京 : 中国标准出版杜, 宋光汉, 方之稹编著, 电气实验技术与测量, 北京 : 中国计量出版社, 何立民编著, 单片机应用系统设计系统配置与接口技术, 北京 : 北京航空航天大学, 尤德斐主编, 数字化测量技术及仪器, 北京 : 机械工业出版社,

17 14 潘洪福等编著, 电子测量技术与仪表, 重庆 : 科学技术文献出版社重庆分社, [ 日 ] 山崎弘朗主编, 姜德华, 赵秀芬译, 电子电路的抗干扰技术, 北京 : 科学出版社, 沈雷主编,CMOS 集成电路原理及应用, 北京 : 光明日报出版社, 郝鸿安主编, 模拟集成电路应用集锦, 上海 : 上海科学技术文献出版社, [ 美 ]D 沃布沙尔著, 言华等译, 电子仪器的电路设计, 北京 : 科学出版杜, 中国集成电路大全) 编写委员会编, 中国集成电路大全集成运算放大器, 北京 : 国防工业出版社, 中国集成电路大全 编写委员会编, 中国集成电路大全 TTL 集成电路, 北京 : 国防工业出版社, 中国集成电路大全 编写委员会编, 中国集成电路大全 CMOS 集成电路, 北京 : 国防工业出版社,1985 * 该参考文献是本教材的主要参考文献, 为避免重复, 在后文的参考文献中不再列出 16

18 第二篇常用中小规模集成电路的应用设计课题 课题 1 数字频率计 一 目的 掌握数字频率计的设计 组装与调试方法 熟悉 ICM7216,74121 集成电路的使用方法 用 ICM7216 组成数字频率计 二 设计内容及要求 1. 技术要求 (1) 测量频率范围 :0Hz~9999Hz 和 1Hz 一 100kHz (2) 测量信号 : 方波峰峰值 3V 一 5V( 与 TTL 兼容 ) (3) 闸门时间 :10ms,0.1s,ls 和 10s, 脉冲波峰峰值 3V 一 5V 2. 设计频率计的相应单元电路 (1) 可控制的计数 锁存 译码显示系统 (2) 石英晶体振荡器及分频系统 (3) 带衰减器的放大整形系统. 3. 设计频率计的整机电路并画出框图和总电路图 4. 组装 调试在实验箱上组装 调试单元电路和整机系统 5. 总结报告写出设计 实验总结报告, 内容包括 : 各单元电路图 整机框图和总电路图, 相应单元的实测波形, 电路原理, 调试分析, 结论和体会 6. 选做内容 (1) 用计数法测量周期 (2) 用大规模集成电路 ICM7216 组装数字频率计并进行调试 三 数字频率计的基本原理 数字频率计的原理框图如图 所示, 它由 4 个基本单元组成 : 可控制的计数锁存 译码显示系统, 石英晶体振荡器及多级分频系统, 带衰减器的放大整形系统和闸门电路 由晶体振荡器 多级分频系统及门控电路得到具有固定宽度 T 的方波脉冲作门控信号, 17

19 图 数字频率计原理框图图中 :K1 是测量信号选择开关 K2 是闸门时基选择开关 时间基准 T 称为闸门时间 宽度为 T 的方波脉冲控制闸门 ( 与门 ) 的一个输入端 B 被测信号频率为 f x, 它的周期为 T x, 该信号经放大整形后变成序列窄脉冲送到闸门另一输入端 A, 当门控信号到来后, 闸门开启, 周期为 T x 的信号脉冲和周期为 T 的门控信号相 与 通过闸门, 在闸门输出端 C 产生的脉冲信号送到计数器, 计数器开始计数, 直到门控信号结束, 闸门关闭 单稳 1 的暂态送入锁存器的使能端, 锁存器将计数结果锁存, 计数器停止计数并被单稳 2 的暂态清 0 若取闸门时间 T 内通过闸门的信号脉冲个数为 N, 则锁存器中的锁存计数 N=T/T x =Tf x (2-1-1) f x =N / T (2-1-2) 测量频率是按照频率的定义进行的, 若 T=1 秒, 计数器显示的数字 f x = N 若取 T = 0.1s, 通过闸门的脉冲个数为 N 1 时, 则 f x = N 1 /0.1 = 10N 1,(N 1 是闸门时间为 0.1s 时通过闸门的脉冲个数 ) 由此可见闸门时间决定量程, 可以通过闸门时基选择开关选择, 选择 T 大一些, 测量准确度就高一些 根据被测频率选择闸门时间, 显示器的小数点对应闸门时间显示数据量程 实验时若未加小数点显示, 闸门时间 T 为 1s, 被测信号频率通过计数锁存可直接从计数显示器上读出 调试时观测 A,B,C,D 和 E 各点波形可得一组完整的数字频率计波形, 各部分的波形如图 所示 图 数字频率计波形 18

20 1. 衰减放大整形系统衰减放大整形系统包括衰减器 跟随器 放大器 施密特触发器 它将正弦波输入信号 U x 整形成同频率方波 U o, 测试信号通过衰减开关选择输入衰减倍率, 衰减器由分压器构成 幅值过大的被测信号经过分压器分压送入后级放大器, 以避免波形失真 由运算放大器构成的射极跟随器起阻抗变换作用, 使输入阻抗提高 同相输入的运算放大器的放大倍数为 (R f +R 1 )/R 1, 改变 R f 的大小可以改变放大倍数 系统的整形电路由施密特触发器组成, 整形后的方波送到闸门以便计数 2. 石英晶体振荡器和分频器石英晶体振荡器 ( 电路见图 2-6-2) 的振荡频率为 4MHz, 经过分频器, 输出频率的周期范围从 1 μs 到 l0s, 根据被测信号频率的大小, 通过闸门时基选择开关选择时基 时基信号经过门控电路得到方波, 其正脉宽 T 控制闸门的开放时间 3. 可控制的计数锁存 译码显示系统本系统由计数器 锁存器 译码器 显示器 单稳态触发器组成, 其中计数器按十进制计数 如果在系统中不接锁存器, 则显示器上的显示数字就会随计数器的状态不停地变化, 只有在计数器停止计数时, 显示器上的显示数字才能稳定. 所以, 在计数器后边必须接入锁存器, 锁存器的工作是受单稳态触发器控制的, 由图 2-l-2 的波形关系可以看到 门控波形 B 的下降沿使单稳态触发器 1 进入暂态, 单稳 1 的上升沿作为锁存器的时钟脉冲 ( 使能 ) 锁存器在使能脉冲作用下, 将门控信号周期 T 内的计数结果存储起来, 并隔离计数器对译码显示的作用, 同时把所存储的状态送入译码器进行译码, 在显示器上得到稳定的计数显示 为了使计数器稳定 准确的计数, 在门控脉冲结束后, 锁存器将计数结果锁存. 在单稳 l 暂态脉冲的下降沿使单稳 2 进入暂态, 利用单稳 2 的暂态对计数器清零, 清零后的计数器又等待下一个门控信号到来重新计数 4. 闸门电路闸门电路由与门组成, 该电路有两个输入端和一个输出端, 输入端的一端接门控信号, 另一端接整形后的被测方波信号, 闸门是否开通受门控信号的控制, 当门控信号为高电平 1 时, 闸门开启, 而门控信号为低电平 时, 闸门关闭 显然, 只有在闸门开启的时间内, 被测信号才能通过闸门进入计数器, 计数器计数时间就是闸门开启时间 可见, 门控信号的宽度一定时, 闸门的输出值正比于被测信号的频率, 通过计数显示系统把闸门的输出结果显示出来, 就可以得到被测信号的频率 5. 电子计数器测量周期当被测信号频率比较低时, 用测量周期的方法来测量频率比直接测量频率有更高的准确度和分辨率, 且便于测量过程自动化 该测量方法在许多科学技术领域中都得到普遍使用 图 是用电子计数器测量信号周期 T 的原理方框图 被测信号从 B 端输入, 经放大整形电路变成方波, 方波周期倍乘以后作为时间闸门的门控信号加于闸门的一输入端 时基信号通过开关 K1 选择加到闸门的另一输入端, 时基信号周期为 TC, 如果开关 K2 置 ltx 位, 则下述关系成立 : T x1 = NT C (2-1-3) 如果 K 2 不是接 1T x, 而是接 kt x (k = 10,100,1000), 则有下式 kt x =NT C, T x1 =kt x 19

21 图 用计数器测量周期的原理方框图 N T x = T C (2-1-4) k 周期倍乘的目的是增大 N 值, 减小量化误差的影响, 提高测量准确度 测量周期与测量频率的基本原理相似, 根据图 且参阅图 2-1-I, 能比较容易地设计出用电子计数器测量周期的电路系统 四 器件简介 (1) 74LS273:74LS273 集成电路包括 8 个正边沿触发的 D 型触发器 74LS273 的 11 脚未加时钟脉冲时, 它的输出不随输入变化, 只有在时钟脉冲的上升沿出现在引脚 11 上时, 输入的数据才被锁存 该集成电路还有清零端, 当引脚 1 加低电平时, 集成电路的输出为零 其顶视图如图 所示 (2)74121:74121 集成电路是单稳态触发器, 顶视图如图 所示, 它有两个负跳变触发输入和一个可作禁止输入使用的正跳变触发输入 ( 见表 2-1-1), 它可提供互补输出脉冲 外部元件的接法 : 外接电容接在 C ext ( 正 ) 和 R ext /C ext 两引脚之间 ; 如用内接定时电阻, 需将引脚 R int 接 Vcc; 为了改善脉冲宽度的精度和重复性可在 C ext 和 R ext /C ext 之间接一外接电阻, 并将 R int 开路 20

22 适当选择定时元件, 输出脉宽可以变化于 40ns 和 28s 之间 如不接定时元件 (R int 引脚接 Vcc, 而使 C ext 和 R ext /C ext 引脚开路 ), 输出脉宽一般可达 30ns 或 35ns, 可以作直接耦合触发复位信号使用 输出脉宽可由如下关系式确定 : t W ( 输出 ) = C ext R τ ln2 = 0.7C ext R τ, 式中 R τ 为 R int 或者在 C ext 和 R ext /C ext 之间的外接电阻 五 调试要点 (1) 加电源电压十 5V (2) 用示波器检查晶振电路是否正常振荡 (3) 用示波器观察各闸门时间是否正确 (4) 用示波器观察单稳 1 和单脉 2 输出. 并使之正常工作 (5) 检查计数器 锁存器 译码器 显示器, 并使之正常工作 (6) 向闸门送方波频率信号, 检查频率计部分, 使之准确计算频率 (7) 调节放大整形电路, 使之输出合适的方波 (8) 整机连调, 使频率计正确测频 六 供参考选择的元器件 (1) 共阴极七段显示器 4 片 (8) 74LS74 1 片 (2) 74LS48 4 片 (9) 74LS04 1 片 (3) 74LS273 2 片 (10) 74LSl0 2 片 (4) 74121( 或 74221) 2 片 (11)μA741 4 片 (5) 74LS90 10 片 (12)555 或 74LSl4 1 片 (6) 石英晶振 4MHz 1 片 (13) 电阻 电容 导线等 (7) 74LS76 1 片 七 应准备的仪器设备 (1) 双踪示波器 1 台 (2) 信号发生器 1 台 (3) 稳压电源 1 台 (4) 实验箱 1 台 (5) 数字频率计 1 台 八 单片数字频率计简介 美国 INTERSIL 公司研制的系列通用计数电路 ICM7216A/B/C/D 是用于数字频率计 计数器 时间间隔测量仪器的单片专用集成电路 该电路只需外接少量元件就能构成 10MHz 数字频率计等数字测量仪表 21

23 单片数字频率计电路 10MHz 频率计电路如图 所示 该电路用 ICM7216D 并外加一些元件组成 电路中用一块高精度晶振体和两个低温系数电容构成 10MHz 并联振荡电路, 其输出信号作时间基准频率信号, 内部分频后产生闸门时间 测量频率从 28 引脚 ( 输入 A) 输入 用转换开关 SW 6 选择量程, 即选择频率计的闸门时间, 分别是 0.01s,0.1s,1s,10s 用开关 SW 3,SW 4 和 SW 5 选择工作模式 当 SW 3 接通时, 将引脚 1 (CONTROL INPUT) 和 D 0 连接, 电路允许外振荡输入 当 SW4 接通时, 将 l 端和 D 3 相连, 则电路进入显示关闭状态, 此时功耗降低 SW 5 接通时,1 端和 D 7 相连, 电路处于显示测试状态, 检查 LED 的模式 SW l 为控制电路复位开关 SW 2 接通时使电路处于保持状态 图 2-l-6 中, 位输出用单线代表 8 根输出线 这 8 根线的一端分别接到对应的 LED 公共阴极上, 另一端对应排列接至 D 0 ~ D 7 的引脚上 同样七段与小数点输出也用单线代表 8 根输出线, 其中七段输出 A B C D E F G 分别接在 8 个 LED 的相应段上, 用 D 7 来表示过载 为了防止 ICM7216D 的控制端 1 引入大电流产生的噪声 用一个 R=10Ω 和 C=100pF 的网络来滤波, 并接在晶振体两端的 22MΩ 电阻用来给内部振荡电路提供直流反馈偏置,39pF 电容用来微调晶振频率, 频率输入用 lkω 电阻作保护 芯片驱动电路输出 l5ma~35ma 的峰值电流, 所以在 5V 电源下可直接点燃发光二极管七段数码显示器 ICM7216D 用 28 支引出端双列直插封装, 如图 所示 表 列出该器件实现外加控制功能的各控制端与输出端的联接方式 表 控制端和输出端的联接 控制端 相连的输出端 实现的功能 D 7 D 1 显示测量模式 1MHz 晶振选择 控制输入端 1 D 0 外加震荡允许输入 (CONTROL INPUT) D 2 外加小数点允许输入 D 4 D 3 测量分析模式当 HOLD=1 时显示消隐 D s 量程输入端 14 D 1 0.1s (RANGE INPUT) D 2 1s 外部小数点输入 13 需要显示的小数点端 (EXT. D.P. INPUT) (DIGIT OUTPUT) D 3 10s 相应的小数点点亮 22

24 图 单片数字频率计电路 23

25 图 ICM7216D 参考文献 1 张乃国编, 电子测量, 北京 : 人民邮电出版社, [ 美 ]J. 马库斯 电子电路大全, 卷 5 数字电路, 北京 : 计量出版社, 中国集成电路大全 编写委员会编 中国集成电路大全 TTL 集成电路, 北京 : 国防工业出版社, 王国定编著, 专用集成电路原理和应用, 上海 : 上海科学技术文献出版社, 潘洪福等编著, 电子测量技术与仪表, 重庆 : 科学技术文献出版社重庆分社,

26 课题 2 数字电压表 一 目的 掌握数字电压表的设计 组装与调试方法. 熟悉集成电路 MCl4433,MCl413,CD451l 和 MCl403 的使用方法, 并掌握其工作原理 二 设计内容及要求 (1) 设计数字电压表电路 (2) 测量范围 : 直流电压 0V 一 1.999V,0V 一 19.99V,0V 一 199.9V,0V~1999V (3) 组装调试 位数字电压表 (4) 画出数字电压表电路图, 写出总结报告 (5) 选作内容 : 自动切换量程 三 数字电压表的基本原理 数字电压表将被测模拟量转换为数字量, 并进行实时数字显示 该系统 ( 如图 所 示 ) 可采用 MC 位 A/D 转换器 MC1413 七路达林顿驱动器阵列 CD4511 BCD 到七段锁存 - 译码 - 驱动器 能隙基准电源 MCl403 和共阴极 LED 发光数码管组成 本系统是 位数字电压表,3 2 1 位是指十进制数 0000~1999 所谓 3 位是指个位 十位 百位, 其数字范围均为 0~9, 而所谓半位是指千位数, 它不能从 0 变化到 9, 而只能由 0 变到 l, 即二值状态, 所以称为半位 各部分的功能如下 : 位 A/D 转换器 (MC14433): 将输入的模拟信号转换成数字信号 基准电源 (MC1403): 提供精密电压, 供 A/D 转换器作参考电压 译码器 (MC4511): 将二 十进制 (BCD) 码转换成七段信号 驱动器 (MC1413): 驱动显示器的 a,b,c,d,e,f,g 七个发光段, 驱动发光数码管 (LED) 进行显示 显示器 : 将译码器输出的七段信号进行数字显示, 读出 A/D 转换结果 工作过程如下 : 位数字电压表通过位选信号 DS 1 ~DS 4 进行动态扫描显示, 由于 MCl4433 电路的 A /D 转换结果是采用 BCD 码多路调制方法输出, 只要配上一块译码器, 就可以将转换结果以数字方式实现四位数字的 LED 发光数码管动态扫描显示 DS 1 ~DS 4 输出多路调制选通脉冲信号 DS 选通脉冲为高电平时表示对应的数位被选通, 此时该位数据在 Q 0 ~Q 3 端输出 25

27 图 位数字电压表 26

28 每个 DS 选通脉冲高电平宽度为 18 个时钟脉冲周期, 两个相邻选通脉冲之间间隔 2 个时钟脉冲周期 DS 和 EOC 的时序关系是在 EOC 脉冲结束后, 紧接着是 DS 1 输出正脉冲 以下依次为 DS2,DS 3 和 DS 4 其中 DS 1 对应最高位 (MSD),DS4 则对应最低位 (LSD) 在对应 DS 2,DS 3 和 DS 4 选通期间,Q 0 ~Q 3 输出 BCD 全位数据, 即以 8421 码方式输出对应的数字 0~9. 在 DS 1 选通期间,Q 0 ~Q 3 输出千位的半位数 0 或 l 及过量程 欠量程和极性标志信号 在位选信号 DS 1 选通期间 Q 0 ~Q 3 的输出内容如下 : Q 3 表示千位数,Q 3 =0 代表千位数的数宇显示为 1,Q 3 =1 代表千位数的数字显示为 0 Q 2 表示被测电压的极性,Q 2 的电平为 1, 表示极性为正, 即 U X >0,Q 2 的电平为 0, 表示极性为负, 即 U X <0 显示数的负号( 负电压 ) 由 MCl413 中的一只晶体管控制, 符号位的 一 阴极与千位数阴极接在一起, 当输入信号 U X 为负电压时,Q 2 端输出置 0, Q 2 负号控制位使得驱动器不工作, 通过限流电阻 R M 使显示器的 一 ( 即 g 段 ) 点亮 ; 当输入信号 U X 为正电压时,Q 2 端输出置 1, 负号控制位使达林顿驱动器导通, 电阻 R M 接地, 使 一 旁路而熄灭 小数点显示是由正电源通过限流电阻 R DP 供电燃亮小数点 若量程不同则选通对应的小数点 过量程是当输入电压 U X 超过量程范围时, 输出过量程标志信号 OR Q 当 Q 3 0 Q 当 Q 3 0 = 0 时表示 U X 处于过量程状态 = 1 = 1 时表示 U X 处于欠量程状态 = 1 当 OR = 0 时, U X >1999, 则溢出 U X > U R 则 OR 输出低电平 当 OR = 1时, 表示 U X < U R 平时 OR 输出为高电平, 表示被测量在量程内 MCl4433 的 OR 端与 MC4511 的消隐端 BI 直接相连, 当 U X 超出量程范围时,OR 输出低 电平, 即 OR = 0 BI = 0,MC4511 译码器输出全 0, 使发光数码管显示数字熄灭, 而负 号和小数点依然发亮 四 器件简介 位 A/D 转换器 MCl4433 在数字仪表中,MCl4433 电路是一个低功耗 位双积分式 A/D 转换器 和其它典型 的双积分 A/D 转换器类似,MCl4433A/D 转换器由积分器 比较器 计数器和控制电路组 27

29 成 如果必要设计应用者可参考相关参考书 使用 MC14433 时只要外接两个电阻 ( 分别是片内 RC 振荡器外接电阻和积分电阻 R I ) 和两个电容 ( 分别是积分电容 C I 和自动调零补偿电容 1 C 0 ) 就能执行 3 位的 A/D 转换 2 MC14433 内部模拟电路实现了如下功能 :1) 提高 A/D 转换器的输入阻抗, 使输入阻抗可达 l00mω 以上 ;2) 和外接的 R I C I 构成一个积分放大器, 完成 V/T 转换即电压 时间的转换 ;3) 构造了电压比较器, 完成 0 电平检出, 将输入电压与零电压进行比较, 根据两者的差值决定极性输出是 1 还是 0 比较器的输出用作内部数字控制电路的一个判别信号 ;4) 与外接电容器 C 0 构成自动调零电路 除 模拟电路 以外,MC14433 内部含有四位十进制计数器, 对反积分时间进行 3 位 1 半 BCD 码计数 (0~1999), 并锁存于 3 位十进制代码数据寄存器, 在控制逻辑和实时取数 2 信号 (DU) 作用下, 实现 A/D 转换结果的锁定和存储 借助于多路选择开关, 从高位到低位逐位输出 BCD 码 Q 0 ~Q 3, 并输出相应位的多路选 1 通脉冲标志信号 DS 1 ~DS 4 实现 3 位数码的扫描方式 ( 多路调制方式 ) 输出 2 MC14433 内部的控制逻辑是 A/D 转换的指挥中心, 它统一控制各部分电路的工作 根据比较器的输出极性接通电子模拟开关, 完成 A/D 转换各个阶段的开关转换, 产生定时转换信号以及过量程等功能标志信号 在对基准电压 V REF 进行积分时, 控制逻辑令 4 位计数器开始计数, 完成 A/D 转换 MCl4433 内部具有时钟发生器, 它通过外接电阻构成的反馈, 井利用内部电容形成振荡, 产生节拍时钟脉冲, 使电路统一动作, 这是一种施密特触发式正反馈 RC 多谐振荡器, 一般外接电阻为 360kΩ 时, 振荡频率为 100kHz; 当外接电阻为 470kΩ 时, 振荡频率则为 66kHz, 当外接电阻为 750kΩ 时, 振荡频率为 50kHz 若采用外时钟频率 则不要外接电阻, 时钟频率信号从 CPI( 原文资料为 CLKI)(10 脚 ) 端输入, 时钟脉冲 CP 信号可从 CPO( 原文资料为 CLKO)(11 脚 ) 处获得 MC14433 内部可实现极性检测, 用于显示输入电压 U X 的正负极性 ; 而它的过载指示 ( 溢出 ) 的功能是当输入电压 Vx 超出量程范围时, 输出过量程标志 OR ( 低有效 ) MC14433 是双斜率双积分 A/D 转换器, 采用电压 时间间隔 (V/T) 方式, 通过先后对被测模拟量电压 U X 和基准电压 V REF 的两次积分, 将输入的被测电压转换成与其平均值成正比的时间间隔, 用计数器测出这个时间间隔对应的脉冲数目, 即可得到被测电压的数字值 双积分过程可以做如下概要理解 : 首先对被测电压 U X 进行固定时间 T 1 固定斜率的积分, 其中 T 1 =4000Tcp 显然, 不同的输入电压积分的结果不同 ( 不妨理解为输出曲线的高度不同 ) 然后再以固定电压 V REF 以及由 R I,C I 所决定的积分常数按照固定斜率反向积分直至积分器输出归零, 显然对于上述一次积分过程形成的不同电压而言, 这一次的积分时间必然不同 于是对第二次积分过程历经的时间用时钟脉冲计数, 则该数 N 就是被测电压对应的数字量 由此实现了 A/D 转换 积分电阻电容的选择应根据实际条件而定 若时钟频率为 66kHz,C I 一般取 0.1μF R I 的选取与量程有关, 量程为 2V 时, 取 R I 为 470kΩ; 量程为 200mV 时, 取 R I 为 27 kω 28

30 选取 R I 和 C I 的计算公式如下 : R I U = C X (max) I T U C 式中,ΔU C 为积分电容上充电电压幅度, U C = VDD U X (max) U, U = 0. 5V, T = f clk 例如, 假定 C I =0.1μF,V DD =5V,f CLK =66kHz 当 U X(max) =2V 时, 代入上式可得 R I =480k Ω, 取 R I =470kΩ MC14433 设计了自动调零线路, 足以保证精确的转换结果 MC14433A/D 转换周期约需 个时钟脉冲数, 若时钟频率为 48kHz, 则每秒可转换 3 次, 若时钟频率为 86kHz, 则每秒可转换 4 次 MCl4433 采用 24 引线双列直插式封装, 外引线排列, 参考图 的引脚标注, 各主要引脚功能说明如下 : (1) 端 :V AG, 模拟地, 是高阻输入端, 作为输入被测电压 U X 和基准电压 V REF 的参考点地 (2) 端 :R REF, 外接基准电压输入端 (3) 端 :U X, 是被测电压输入端 (4) 端 :R I, 外接积分电阻端 (5) 端 :R I /C I, 外接积分元件电阻和电容的公共接点 (6) 端,C1, 外接积分电容端, 积分波形由该端输出 (7) 和 (8) 端 :C 01 和 C 02, 外接失调补偿电容端 推荐外接失调补偿电容 C 0 取 0.1μF (9) 端 :DU, 实时输出控制端, 主要控制转换结果的输出, 若在双积分放电周期即阶段 5 开始前, 在 DU 端输入一正脉冲, 则该周期转换结果将被送入输出锁存器并经多路开关输出, 否则输出端继续输出锁存器中原来的转换结果 若该端通过一电阻和 EOC 短接, 则每次转换的结果都将被输出 (10) 端 :CPI (CLKI), 时钟信号输入端 (11) 端 :CPO (CLKO), 时钟信号输出端 (12) 端 :V EE, 负电源端, 是整个电路的电源最负端, 主要作为模拟电路部分的负电源, 该端典型电流约为 0.8mA, 所有输出驱动电路的电流不流过该端, 而是流向 V SS 端 (13) 端 :V SS 负电源端. (14) 端 :EOC, 转换周期结束标志输出端, 每一 A/D 转换周期结束,EOC 端输出一正脉冲, 其脉冲宽度为时钟信号周期的 1/2 (15) 端 :OR, 过量程标志输出端, 当 U X >V REF 时,OR 输出低电平, 正常量程 OR 为高电平 (16)~(19) 端 : 对应为 DS4~DS 1, 分别是多路调制选通脉冲信号个位 十位 百位和千位输出端, 当 DS 端输出高电平时, 表示此刻 Q ~Q 3 输出的 BCD 代码是该对应位上的 29

31 数据 (20)~(23) 端 : 对应为 Q 0 一 Q 3, 分别是 A/D 转换结果数据输出 BCD 代码的最低位 (LSB) 次低位 次高位和最高位输出端 (24) 端 :V DD, 整个电路的正电源端 2. 七段锁存 - 译码 - 驱动器 CD4511 CD4511 是专用于将二 - 十进制代码 (BCD) 转换成七段显示信号的专用标准译码器, 它由 4 位锁存器,7 段译码电路和驱动器三布分组成 (1) 四位锁存器 (LATCH): 它的功能是将输入的 A,B,C 和 D 代码寄存起来, 该电路具有锁存功能, 在锁存允许端 (LE 端, 即 LATCHENABLE) 控制下起锁存数据的作用 当 LE=1 时, 锁存器处于锁存状态, 四位锁存器封锁输入, 此时它的输出为前一次 LE=0 时输入的 BCD 码 ; 当 LE=0 时, 锁存器处于选通状态, 输出即为输入的代码 由此可见, 利用 LE 端的控制作用可以将某一时刻的输入 BCD 代码寄存下来, 使输出不再随输入变化 (2) 七段译码电路 : 将来自四位锁存器输出的 BCD 代码译成七段显示码输出,MC4511 中的七段译码器有两个控制端 : 1 LT (LAMP TEST) 灯测试端 当 LT = 0 时, 七段译码器输出全 1, 发光数码管各段 全亮显示 ; 当 LT = 1 时, 译码器输出状态由 BI 端控制 2 BI (BLANKING) 消隐端 当 BI = 0 时, 控制译码器为全 0 输出, 发光数码管各段 熄灭 BI = 1时, 译码器正常输出, 发光数码管正常显示 上述两个控制端配合使用, 可使译码器完成显示上的一些特殊功能 (3) 驱动器 : 利用内部设置的 NPN 管构成的射极输出器, 加强驱动能力, 使译码器输出驱动电流可达 20mA CD4511 电源电压 V DD 的范围为 5V 一 15V, 它可与 NMOS 电路或 TTL 电路兼容工作 CD4511 采用 16 引线双列直插式封装, 引脚分配和真值表参见图 使用 CD451l 时应注意输出端不允许短路, 应用时电路输出端需外接限流电阻 3. 七路达林顿驱动器阵列 MCl413 MCl413 采用 NPN 达林顿复合晶体管的结构, 因此具有很高的电流增益和很高的输入阻抗, 可直接接受 MOS 或 CMOS 集成电路的输出信号, 并把电压信号转换成足够大的电流信号驱动各种负载. 该电路内含有 7 个集电极开路反相器 ( 也称 OC 门 ) MCl413 电路结构和引脚如图 所示, 它采用 16 引脚的双列直插式封装 每一驱动器输出端均接有一释放电感负载能量的续流二极管 30

32 4. 高精度低漂移能隙基准电源 MCl403 MCl403 的输出电压的温度系数为零, 即输出电压与温度无关. 该电路的特点是 : 1 温度系数小 ;2 噪声小 ;3 输入电压范围大, 稳定性能好, 当输入电压从 +4.5V 变化到 +15V 时, 输出电压值变化量小于 3mV;4 输出电压值准确度较高,y 值在 2.475V~ 2.525V 以内 ;5 压差小, 适用于低压电源 ;6 负载能力小, 该电源最大输出电流为 10mA MCl403 用 8 条引线双列直插标准封装, 如图 所示 图 CD4511 引脚分配及其真值表 图 MC1413 引脚分配及内部结构 图 MC1403 五 调试要点 (1) 加电源电压 VDD=+5V,V EE = -5V (2) 用示波器观察 MCl4433 的 11 脚 f CLK 时钟频率 调整 R 2 使 f CLK =66kHz (3) 采用稳压电源, 调整其输出电压为 1.999V 或 199mV, 以此作为模拟量输入信号 V x, 此值需用标准数字电压表监视, 然后调整基准电压 V R 的电位器, 使 LED 显示量为 31

33 1.999V 或 199mV, 此时将电位器值固定好 (4) 观察 MCl4433 第 6 脚处的积分波形. 调整电阻 R 1 值使 U x 为 1.999V 或 199mV 时, 积分器输出既不饱和, 又能得到最大不失真的摆幅 (5) 检查自动调零功能 当 MCl4433 的端子 U x 与 U AG 短路或 U x 端没有信号输入时, LED 显示器应显示 0000 (6) 检查超量程溢出功能 调节 U x 值, 当 U x 为 2V( 或者 U x >U R ), 观察 LED 发光数码管有否闪烁显示告警作用, 此时 OR 端应为低电平 (7) 检查自动极性转换功能 将 V 和一 1.990V 先后加到输入端, 两次读数之差为翻转误差, 根据 MOTOROLA 公司规定, 正负极性转换时允许个位有士 1 个字的误差 (8) 测试线性度误差, 将输入信号 U x 从 0V 增大到 1.999V, 输出几个采样值, 其 U x 值用标准数字电压表监视, 然后与 LED 显示数值相比较, 其最大偏差为线性误差 (9) 将信号电压 U x 极性变反, 重复步骤 (8) (10) 当 MCl4433 的 9 与 14 脚直接相连时, 观察 EOC 信号有否? 当 DU 端置 0 时, 观察 LED 显示数字是否锁存 (11) 调试分压器, 检查各量程是否准确 六 供参考选择的元器件 (1) MCl 片 (6) 74LSl94 1 片 (2) CD 片 (7) LM324 1 片 (3) MCl413 1 片 (8) 七段显示器 4 片 (4) MCl403 1 片 (9) 电阻 电容 导线等 (5) CC4051 ( 或 CC4502) 1 片 32

34 课题 3 声控灯开关 一 目的 (1) 作为电力电子技术的入门, 学习晶闸管的应用 (2) 设计模拟和数字电子混合电路, 实现特定功能 学习这一技能, 积累这方面的经验 二 设计内容及要求 (1) 设计办公大楼 写字楼或居民住宅楼内应用的声控灯开关 (2) 在白天照度较高时, 即楼道内光线充足时本开关不启动, 灯熄灭 (3) 夜晚楼道内光线较差时, 若楼道内充分安静 ( 无人行动时 ), 灯泡不启动, (4) 若在光线较差的楼道内有人发出声响, 就启动该装置使灯泡点亮 三 声控灯开关的工作原理 声控灯开关的原理图见图 3-1 图 3-1 声控灯开关原理图 由四个二极管组成的桥式整流电路将输入电路的 220V50Hz 交流电压变换成脉动直流 该脉动直流电压一路由 R 3 和 R 1 C 3 分压并滤波后得到传感及逻辑控制电路所用的电压 V CC ; 另一路接到晶闸管 BTl69 的阳极 当 BT169 的控制极 ( 栅极 ) 为高电平且输入的交流信号 V i =cos(ωt+φ) 处于正半周期时, 电流由 D 2 地 D 4 灯流过而将灯点亮 当 V i 处于负半周期时, 电流由灯 D 3 地 D 1 而将灯点亮 当 BTl69 的控制极为低电平时, 晶闸管截止, 此时不会产生驱动灯的电流, 因此灯是熄灭的 由此可见, 灯的亮灭是由晶闸管的控制极电平决定的 因此, 关键的问题是如何控制其栅极电平的高低 33

35 我们的目的是要达到 : 有光时灯灭 ; 无光无声时灯灭 : 无光有声时灯亮 参见原理图 3-1 对光敏电阻 R W 而言, 当有光时,Rw<2KΩ, 此时 HDl4011 的 1 脚亦即第一个与非门的一个输入端为低电平 ( 地 ), 所以 3 脚为高电平 4 脚为低电平,10 脚为高电平,11 脚为低电平 ( 后文设上述由左至右四个与非门的输出分别为 A,B,C,D), 则此时晶闸管截止, 灯泡是暗的 相反, 如果无光时,R W >2MΩ, 此时 HDl4011 的 1 脚是高电平, 此时第一个与非门的输出取决于 2 脚的状态, 而 2 脚的状态将由噪声传感器来决定, 当没有声音时,2 脚为低电平, 因此 A 为高电平,B 为低电平,C 为高电平,D 为低电平, 此时晶闸管截止, 灯泡为暗 当有声音产生时, 在麦克两端产生一个交流信号, 经过电容而将 2 脚置成高电平, 此时 A 为低电平,B 为高电平,C 为低电平,D 为高电平, 从而将晶闸管触发导通, 灯泡点亮, 同时由于 B 点为高电平, 可对电容 C2 充电, 这样, 当声音消失之后, 由于 C2 和 R 7 的存在, 使 C 点维持在低电平直到 C 2 放电结束, 在此过程中, 灯泡将会一直保持在亮的状态直至此过程结束 四 电路板上元器件布局及其明细 PCB 电路板上的元件布局见图 3-2 图 3-2 元 器件布局示意图 元 器件明细 : R 1 :20kΩ R 2 :4.7MΩ R 3 :150kΩ R 4 :20kΩ R 5 :470kΩ R 6 :2MΩ R 7 :2MΩ R 8 :43kΩ R 9 :160kΩ C 1 : 0.1μF C 2 :22μF C 3 :22μF D 1,D 2,D 3,D 4 :1N 噪声传感器 CZN-15E 晶体管 S9014 晶闸管 BT169 四双输入与非门 HD

36 五 安装及调试要点 1 由于灯开关是对声和光敏感的, 因此在焊接时应尽可能地将光敏电阻和噪声传传器的管脚留长, 使之能够比较明显地探出壳外并对外界变化有所反应 2 电路中有电解电容存在, 因此在焊接前应注意其正负极性 3 由于此开关电路的输入电压是 220V 交流电, 因此在做调试时应注意不要用手接触电路 以免发生触电危险 4 元器件的实用测试方法 (1) 二级管的测试方法二级管极性的识别是非常重要的, 因为二级管具有单向导电性, 所以在很多场合下, 对其在使用时极性的正确与否是很重要的, 在安装到电路上之前, 即使管壳上的标志很清楚, 也应用仪器核实其是否正确, 方可使用 二极管极性识别方法如下 : 若使用指针式万用表, 只需测量二极管的正向电阻和反向电阻就可确定电极了 用万用表的两只表笔交替测量二极管的 2 个电极时, 应把万用表拔到 欧姆档 一般采用 R l00 或 R lk 这二档, 应当注意, 不要用 R l 或 R l0k 档, 因为 R l 档使用的电流太大, 而 R l0k 档使用的电压太高, 都容易损坏管子 万用表内装有电池, 红表笔接电池的负极, 黑表笔接电池的正极, 万用表外电路电流的方向是从万用表的负端 ( 黑表笔 ) 流向万用表的正端 ( 红表笔 ) 如果我们测出的是反向电阻, 例如阻值达几百千欧, 这时红表笔接触的一端是二极管的正极, 而黑表笔接触的一端是二极管的负极 假如我们测出的是正向电阻, 例如极间电阻为几百欧, 这时红表笔的接触端是二极管的负极, 而黑表笔的接触端是二极管的阳极 若使用数字万用表, 则应把万用表拔到 PN 结 ( 二极管 ) 测量档 ( 画有二极管符号 ), 两只表笔分别接一个被测电极 此时万用表显示的是电压值, 若为 0.7V 左右, 则正表笔接的是正极 ( 阳极 ) 检查二极管的好坏, 显然可用判定电极的方法实现, 不赘述. (2) 双极型晶体管 ( 三极管 ) 测试方法如下 : 首先用测量二极管的方法找到基极 基极找到后, 就可以进一步判断剩下的两个管脚那一个是集电极, 哪一个是发射极并进而测试管子的好坏 数字万用表都有机晶体管的测试档, 拨到此档后将晶体管的基极正确插入 PNP 或 NPN 插座内, 另外两只引脚按猜测处理 此时测量及显示的是它的电流放大系数, 由此法可测知管子的电极和好坏 三极管和二极管在焊接时还必须注意以下几点 : i 切勿使电压 电流值超过规定的极限运用数据, 以免烧坏管子 ii 允许用 20W-40W 的电烙铁进行焊接, 焊接时应小于 3 秒钟, 并保证管脚有良好的散热 ( 可用镊子夹住被焊管脚根部, 以利散热 ) iii 管予应安装牢固, 避免靠近电路中的发热元件 iv 管子极性不得接错 (3) 晶闸管及 IC 本课题需用一只晶闸管及一片集成电路 晶闸管特性的最简单描述为 : 当控制极给一高电平时 BTl69 导通, 当为低电平时,BTl69 截止 35

37 14011 的测试应采用数字集成电路测试仪完成 图 3-3 是高频小功率三极管 S9014 可控整流器 BTl69 集成电路 HDl491l 的引脚分配图 图 3-3 引脚分配 36

38 课题 4 数字温度计 一 目的 掌握数字温度计的设计 组装与调试方法 1 熟悉 3 位 A/D 转换器的使用方法, 掌握其工作原理 2 二 设计内容及要求 (1) 设计数字温度计电路 (2) 测量范围 0 ~200 (3) 组装 调试数字温度计电路 (4) 画出数字温度计的电路图, 写出总结报告 (5) 选作内容 : 数字体温计. 三 数字温度计的基本原理 ICL7107( 国内型号 CC7107) 或者前一课题所列 MC14433( 请在网络上, 例如 查找 CC7107 芯片的技术资料 ) 组成的数字电压表是双积分类型的数字电压表, 被测电压 Uin 与参考电压 UREF 之间有着严格的比例关系 即 Uin 输出读数 = U REF 根据这个关系,UREF 应设定为 2000mV, 使被测电压和标准电压成对应关系, 但是在许多测试仪器中, 只要求其最终读数能反映被测对象的量, 并不要求其读数与标准电压之间建立完全对等的关系 数字温度计就是根据这个关系, 把被测的温度经过传感器和放大器送给双积分 A/D 进行转换, 最后用数字显示读数与被测量之间的对应关系 利用 Uin 与 UREF 的比例关系来设计电路, 可以大大简化放大器的设计和调试工作 简单的数字温度计可由温度传感器 1 3 位 A/D 转换器和显示器等组成, 有些数字温度 2 计还有放大器, 以提高测量范围 数字温度计在测量温度时, 把温度信号通过传感器转换成电压信号, 该电压信号经过模数转换器把模拟量转变成数字量, 数字量送显示器显示温度 数字温度计的传感器, 使用一个对温度敏感的硅热敏晶体管, 在温度发生变化时, 热敏晶体管的 b-e 结正向压降的温度系数为 -2mV/, 利用这个特性可以测量温度的变化. 由于在 0 时晶体管的基极存在一个电压 UBE, 因此需要设计一个调零电路, 调节调零电路使热敏晶体管在 0 的环境中温度计输出为零, 也就是显示器的读数显示为零 温度计满度读数为 199.9( 表示 200 ) 调节时, 热敏晶体管放置在 200 的环境中, 由于热敏晶体管的温度系数为 -2mV/, 所以在 200 的环境下, 热敏晶体管的 b-e 结压降增量为 -400mV, 根据式 (2-4-1) 得知, 调节参考电压, 使 UREF=400mV 时, 输出读数为 199.9, 这样, 就使数字温度计实现 0 ~200 的测量, 其分辨力为 0.1 一般系统只要把 0 和满标度两点调好, 输出读数与温度成对应关系, 输出读数与 UREF 和 Uin 之间仍保持式 (2-5-1) 的关系 小数点取第二个数码管的 D.P. 段显示 37

39 四 器件简介 选用 CC7107 时, 可学习网上查阅资料 选用 MC14433 时请参看课题 2 的器件简介 五 调试要点 (1) A/D 的调试参看课题 2 的调试要点 (2) 调试 0 时, 应使显示器的显示为 0.0 (3) 调试 100 时, 应使显示器显示为 99.9 或者 六 供参考选择的元器件 (1) 温度传感器 硅晶体管 1 只 (4) LM324 ( 组成仪表放大电路 ) l 片 (2) ICL 片 (5) 电阻和电容若干 (3) 共阳极七段显示器 4 片 参考文献 1. 模拟电子技术基础 ( 第三版或第四版 ), 童诗白等编著, 高教版 2. 集成电路手册 38

40 课题 5 数字电子钟 一 目的 掌握数字电子钟的设计 组装与调试方法 熟悉集成电路的使用方法 二 设计内容及要求 (1) 设计一个有 时 分 秒 (23 小时 59 分 59 秒 ) 显示 且有校时功能的电子钟 (2) 用中小规模集成电路组成电子钟, 并在实验箱上进行组装 调试 (3) 画出框图和逻辑电路图, 写出设计 实验总结报告. (4) 选做 : 1 闹钟系统. 2 整点报时. 在 59 分 51 秒 53 秒 55 秒 57 秒输出 750Hz 音频信号, 在 59 分 59 秒时输出 1000Hz 信号, 音响持续 1 秒, 在 1000Hz 音响结束时刻为整点 3 日历系统. 三 数字电子钟基本原理 数字电子钟的逻辑框图如图 2-5-l 所示. 它由石英晶体振荡器 分频器 计数器 译码器 显示器和校时电路组成, 石英晶体振荡器产生的信号经过分频器作为秒脉冲, 秒脉冲送入计数器计数, 计数结果通过 时 分 秒 译码器显示时间 1. 石英晶体振荡器石英晶体振荡器的特点是振荡频率准确 电路结构简单 频率易调整 它还具有压电效应, 在晶体某一方向加一电场, 则在与此垂直的方向产生机械振动 ; 有了机械振动, 就会在相应的垂直面上产生电场, 从而使机械振动和电场互为因果, 这种循环过程一直持续到晶体的机械强度限止时, 才达到最后稳定. 这种压电谐振的频率即为晶体振荡器的固有频率 用反相器与石英晶体构成的振荡电路如图 所示 两个反相器 G 1 和 G 2 自我反馈, 分别与之并接的电阻 Rl 和 R2 是负反馈元件, 这使它们都工作在线性状态 在此基础上, 利用石英晶体 JU 来控制振荡频率, 同时用电容 C 1 来作为两个反相器之间的耦合 由于两个反相器输入和输出之间的反馈电阻很小, 可以近似认为反相器的输出输入压降相等 电容 C2 是为了防止寄生振荡而设 如本例, 电路中的石英晶振频率是 4MHz, 则电路的输出频率为 4MHz 2. 分频器由于石英晶体振荡器产生的频律很高, 要得到秒脉冲, 需要用分频电路 例如. 振荡器输出 4MHz 信号, 通过 D 触发器 (74LS74) 进行分频, 使之变成 1MHz, 然后送到 10 分频计数器 (74LS90) 该计数器可以用 8421 码制, 也可以用 5421 码制 经过 6 次 10 分频而获得 1Hz 的方波信号作为秒脉冲信号 39

41 3. 计数器秒脉冲信号经过 6 级计数器, 分别得到 秒 个位 十位, 分 个位 十位以及 时 个位 十位的计时 秒 分 计数器为 60 进制, 小时为 24 进制 (1) 60 进制计数 : 秒 计数器电路与 分 计数器电路都是 60 进制, 它由一级十进制计数器和一级 6 进制计数器连接构成, 如图 所示 采用两片中规模集成电路 74LS90 串接起来构成了 秒 和 分 计数器 IC1 是十进制计数器,Q D1 作为十进制的进位信号,74LS90 计数器是十进制异步计数器, 用反馈归零方法实现十进制计数 IC 2 和与非门组成 图 数字电子钟逻辑框图 6 进制计数器 74LS90 是在 CP 信号的下降沿触发计数 Q A2 和 Q C2 相与的结果是当高位计数值达到 5 时为 1, 而在 5 消失 ( 跳变为 6 并进而为 0) 时为 0 这一跳变信号恰好可作为上一级计数器的计数脉冲 (CP) 于是, 秒, 分 和 时 计数器之间的连接关系不言而喻 (2) 24 进制计数 : 小时计数电路是由 IC 5 和 IC 6 组成的 24 进制计数器, 如图 所示 当 小时 的个位 IC 5 计数图 石英晶振输入端 CP 5 来到第 10 个触发信号时,IC 5 归零 进位端 Q D5 向 IC 6 小时 十位计数器输出进位信号, 当第 24 个 小时 计数 ( 来自 分 计数器输出的进位信号 ) 脉冲到达时,IC 5 计数器的状态为 0100,IC 6 计数器的状态为 0010, 此时 小时 个位计数器的 Q C5 和 小时 十位计数器的 Q B6 输出为 l 把它们分别送到 IC 5 和 IC 6 计数器的清零端 R o(1) 和 R o(2), 通过 7490 内部的 R o(1) 和 R o(2) 与非后清零, 计数器复零, 完成 24 进制计数 图 进制计数电路 4. 译码器译码是把给定的代码进行翻译 计数器采用的码制不同, 译码电路也不同 74LS48 驱动器是与 8421BCD 编码计数器配合用的七段译码驱动器 74LS48 配有灯测试 LT 动态灭灯输入 RBI, 灭灯输入 / 动态灭灯输出 BI/RBO, 当 LT=0 时,74LS48 输出全 1 74LS48 40

42 的使用方法参照该器件功能的介绍 ( 参看 TTL 手册 ) 74LS48 的输入端和计数器对应的输出端 74LS48 的输出端和七段显示器的对应段相连 图 进制计数电路 5. 显示器本系统用七段发光二极管来显示译码器输出的数字, 显示器有两种 ; 共阳极或共阴极显示器 74LS48 译码器对应的显示器是共阴 ( 接地 ) 显示器. 6. 校时电路校时电路实现对 时 分 秒 的校准, 在电路中设有正常计时和校时位置 秒 分 时 的校准开关分别通过 RS 触发器控制 四 调试要点 在实验箱上组装电子钟 注意, 器件管脚的连接一定要准确, 悬空端, 清 0 端 置 1 端 要正确处理, 调试步骤和方法如下 : (1) 用示波器检测石英晶体振荡器的输出信号波形和频率, 晶振输出频率应为 4MHz (2) 将频率为 4MHz 的信号送入分频器, 并用示波器检查各级分频器的输出频率是否符合设计要求 (3) 将 1 秒信号分别送入 时 分 秒 计数器, 检查各级计数器的工作情况 (4) 观察校时电路的功能是否满足校时要求 (5) 当分频器和计数器调试正常后, 观察电子钟是否准确正常地工作 五 供参考选择的元器件 (1) 七段显示器 ( 共阴极 ) 6 片 (5) 74LSl0,74LS00 (2) 74LS48 6 片 (6) 74LS04 (3) 74LS90 12 片 (7) 74LS74 (4) 4MHz 石英晶振 1 片 (8) 电阻 电容 导线等 41

43 课题 6 多用信号发生器 一 目的 掌握多用信号发生器的设计 组装与调试方法 熟悉 ICL8038 集成电路的使用方法, 并掌握其原理 二 设计内容及要求 (1) 设计多用信号发生器电路 (2) 要求输出波形为正弦波 方波和三角波 ; 频率范围为 1Hz 一 100kHz; 输出幅度可调 ; 方波脉宽可调 (3) 组装 调试多用信号发生器 (4) 画出逻辑电路图, 写出总结报告 三 多用信号发生器的基本原理 多用信号发生器电路如图 所示, 本电路的核心是 ICL8038 单片函数发生器, 可以产生方波 三角波 正弦波等波形, 其频率范围从 1Hz 至几百 khz, 频率的大小与 RA,RB 和电容有关 图 多用信号发生器 电路用 3 个切换开关 7 个调节电位器 2 块集成电路构成, 调频控制端通过 LM353 内的一只运放控制, 输出信号通过 353 内的另一个运放低阻输出 该电路需加土 12V 电源 调节 W 1 和 W 2 通过 LF353 控制 ICL8038 起振 当开关 K 3 断开时调 W 3, 以改变方波的 42

44 占空比, 可使方波的占空比为 50% 调节 W 5, 使正弦波线性度调节端 1 为 3Vs/5(Vs= Vcc+Vss), 调节 W 6 使另一个正弦波线性度调节端口为 2Vs/5 就可得理想的正弦波信号 W 4 用作低频端线性校正 W 4,W 5 和 W 6 反复调整才能得到一个好的正弦波 W 7 用作增益调节, 改变 W 7 可以得到需要的输出幅值 ICL8038 单片函数发生器, 外接少量元件不仅可产生上述波形, 同时还可以组成其他电路 图 给出 ICL8038 的框图及管脚排列, 供读者参考使用 图中缓冲, 是电压跟随器, 缓冲 2 是反相器 电压比较器 A 1 和 A 2 的阈值分别为电源电压 Vs( 指 Vcc+Vss) 的 2/3 和 1 /3, 电流源电流 I 1 与 I 2 的大小可通过外接电阻调节, 但 I 2 必须大于 I 1 当触发器的输出为低电平时, 电流源 I 2 断开, 电流源 I 1 给电容 C 充电, 它两端的电压 Vc 随时间线性上升, 当 Vc 达到电源电压的 2/3 时, 电压比较器 A 1 的输出电压发生跳变, 使触发器的输出由低电平变为高电平, 电流源 I 2 接通 由于电流源的电流 I 2 大于 I 1, 因此电容放电,Vc 随时间线性下降 当它下降到电源电压的 1/3 时, 电压比较器 A 2 的输出电压发生跳变, 使触发器的输出由高电平跳变为低电平, 电流源 I 2 断开, 电流源 I 1 再给电容充电,Vc 又随时间线性上升 如此周而复始, 产生振荡 若 I 2 =2I 1,, 则触发器的输出为方波, 经缓冲 2 输出到管脚 9 在 I 2 =2I 1 的条件下,Vc 上升与下降的时间相等, 输出为三角波, 经缓冲 1 输出到管脚 3, 并通过三角波变正弦波的变换电路得到正弦波, 从管脚 2 输出 当 I 1 <I 2 <2I 1 时,Vc 上升与下降的时间不相等, 管脚 3 输出锯齿波 四 调试要点 (1) 加电源电压土 12V (2) 用示波器观察方波 三角波 正弦波的波形, 不理想时调整对应电位器 (3) 用频率计 ( 或示波器 ) 校正频率输出 (4) 调整输出增益, 观察输出幅度变化 (5) 用示波器观察方波占空比的大小, 并改变其占空比 五 供参考选择的元器件 (1) ICL8038 (3) LM324 (2) LF357 (4) 电阻 电容 导线若干 参考文献 1 刘国荣编. 单片函数发生器 I5G8038 及其应用. 北京 : 电子技术应用, 林家瑞编. 电子工程师指南. 武汉 : 华中工学院出版社, 童诗白主编. 模拟电子技术基础. 北京 : 高等教育出版社,

45 图 ICL8038 框图及管脚排列 44

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

实验7 单管低频电压放大电路

实验7   单管低频电压放大电路 实验 7 单管低频电压放大电路 实验目的. 认识实验所用的电子元器件 ( 双极型晶体管 电阻 电位器和电容器等 ) 2. 学习看图接线, 能熟练使用常用电子测量仪器 3. 学习单管电压放大电路的基本测试方法 4. 了解双极型晶体管电压放大电路中引入负反馈后对其工作性能的影响 实验原理. 单管低频电压放大电路介绍 +U R B RP 00kΩ R 3kΩ 2V R S 0kΩ e S u 0μF RB2

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

E507d0208a01

E507d0208a01 实验目的. 了解直流稳压电源的组成及各个组成部分的作用. 了解稳压二极管的稳压作用及并联稳压电路的工作原理 3. 了解采用集成稳压器构成固定式及电压可调式稳压电路的方法 网交流电路电变压压电路整波电实验原理 各种电子设备都需要由电压稳定的直流电源供电, 直流稳压电源分为线性电源和开关电源两种, 本实验的研究对象为线性稳压电源 线性稳压电源的组成如图 3.0a. 所示, 图中由 0V 工频交流电通过变压器变压为所需的交流电压,

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

2010产品目录.cdr

2010产品目录.cdr 固态继电器 olid tate elay 固态继电器 * 因产品开发及技术改进等原因, 实际销售产品可能与本手册中展示的产品有所不同, 本公司保留相关权利, 产品若有改动, 恕不另行通知 固态继电器目录 P C B 型单相交流固态继电器 单相交流固态继电器 (DC-AC) 产品目录 PCB 型固态继电器 1 面板安装型固态继电器 2 工业级固态继电器 3 单相直流固态继电器 (DC-AC) 面板安装型直流固态继电器

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

正弦信号发生器

正弦信号发生器 福建师范大学协和学院 电子系统设计 指导书 设计题目 : 正弦交流信号压频测量仪 年级 : 2012 指导教师 : 蔡银河 陈清华 协和学院信息技术系 二零一五年四月 目录 1 课程设计目的 任务及要求... 3 1.1 课程设计目的... 3 1.2 课程设计任务... 3 1.3 课程设计要求... 3 1.4 说明...4 1.5 评分标准...4 2 总体设计方案... 5 2.1 总体设计框图...

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 MD7UXX 系列 120mA MD7UXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 1uA

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 耐压低压差微功耗型 CMOS 电压稳压电路 MD7XX 系列 120mA MD7XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 ⒈2uA

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 北京锐志天宏科技股份有限公司 北京 锐志天宏科技股份有限公司 雕刻机运动控制系统 A18- 四轴机械雕刻接线手册 接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 接口板 I/O 口说明 : 端口端口标号定义 J10 电源 J 2 J 3 J 4 输 入 端 口 X 轴 脉 冲 输 出 端 口 Y 轴 脉 冲 输 出 端 口 Z 轴 脉 冲 输 出 端

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

第2章 放大器基础

第2章    放大器基础 第 7 章直流稳压电源 在大多数电子设备中, 几乎都需要直流稳压电源 一般较小功率的直流电源均是用市电经整流 滤波和稳压后获得 整流, 即是将交流电压变为脉动直流电压 ; 滤波, 即是除去脉动直流电压中的交流成分, 使之成为平滑的直流电压 ; 稳压, 即是减小电源电压波动 负载变化和温度变化的影响, 以维持输出电压的稳定 由于集成稳压电路具有体积小 重量轻 工作可靠等优点, 因而, 应用越来越广泛

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

任春平 邹志利 在坡度为 的平面斜坡上进行了单向不规则波的沿岸流不稳定运动实验 观测到了沿 岸流的周期性波动 波动周期约为 利用最大熵方法和三角函数回归法求得这种波动的主 频率以及幅值 分析了波动幅值在垂直岸线方向的变化 结果表明该变化与沿岸流变化类似 即在 沿岸流最大值附近这种波动强度最大 为了分析波动的机理 利用线性沿岸流不稳定模型对模型实验结果进行了分析 求得了不稳定运动增长模式和波动周期 并与对应实测结果进行了比较

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

案例实训指导书之三

案例实训指导书之三 案例实训指导书之三 用标准集成电路组成 的数字钟 电子技术教研室编 00 年 0 月 目录 一 案例教学的目的 要求和教学方法.... 案例教学的目的.... 案例教学的要求.... 案例教学的教学方法... 二 数字钟的组成框图 电原理图.... 数字钟电路的组成框图.... 数字钟组成框图中各个组成部分的电原理图.... 数字钟的电原理图... 三 案例思考题... 0. 和案例直接相关的思考题...

More information

Microsoft Word - JC53XX doc

Microsoft Word - JC53XX doc 低压差微功耗型 LDO CMOS 电压稳压电路 JC53XX 系列 500mA JC53XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流, 正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 最高工作电压可达 10V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±2% 输入输出压差低 15mV Iout=1mA 超低功耗电流 ⒈2uA

More information

OKI Semiconductor

OKI Semiconductor 低功耗人体红外线感应信号处理器 唐正电子研发的 是为各种传感器配套设计的专用集成电路, 采用 CMOS 工艺制造 其外围器件大大减少, 节约了空间和成本及调试时间, 提高整机可靠性, 可广泛应用于照明控制 马达和电磁阀控制, 防盗报警等领域 一 特点 COMS 数模混合专用集成电路, 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间定时器和封锁时间定时器,

More information

Microsoft Word - 习题解答.doc

Microsoft Word - 习题解答.doc 习题解答 第一章.4. 解 : 由图可知, ( ) L +, + s s () 0, 0 时, s L L 0 s ( s + ), 0 0 + L L s 00 / 8.6 /0 s () s (3) s (4) s 5.5 s 0 / 0.086 /0 s 0 / 0.86 /0 s.5.5 解 : 输入级 : 高输入电阻型放大电路 减小对信号源的衰减中间级 : 高增益型放大电路 提高信号的放大倍数输出机

More information

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V 3. 测量电压放大倍数调节一个频率为 1kHz 峰- 峰值为 50mV 的正弦波作为输入信号 U i 断开 DTP5 接地的线, 把输入信号连接到 DTP5, 同时用双踪示波器观察放大器输入电压 U i (DTP5 处 ) 和输出电压 U o (DTP25 处 ) 的波形, 在 U o 波形不失真的条件下用毫伏表测量下述三种情况下 :1 不变实验电路时 ;2 把 DTP32 和 DTP33 用连接线相连时

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

三 原理框图 VDD 7 VSS N+ N- OUT VM 2N OP OP2 COP COP2 VS 状态控制器 延迟时间定时器 0 IB 2 Vo 3 RR 4 RC RB R C 2OUT VC VR 9 COP3 封锁时间定时器 6 RR2 5 RC2 R2 C2 VRF/

三 原理框图 VDD 7 VSS N+ N- OUT VM 2N OP OP2 COP COP2 VS 状态控制器 延迟时间定时器 0 IB 2 Vo 3 RR 4 RC RB R C 2OUT VC VR 9 COP3 封锁时间定时器 6 RR2 5 RC2 R2 C2 VRF/ SPT 00 低功耗人体红外线感应信号处理器 SP 00 是为各种传感器配套设计的专用集成电路, 采用 CMOS 工艺制造 其外围器件大大减少, 节约了空间和成本及调试时间, 提高整机可靠性, 可广泛应用于照明控制 马达和电磁阀控制, 防盗报警等领域 一 特点 COMS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间定时器和封锁时间定时器,

More information

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63> 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI

More information

Microsoft Word - DM7612_cn.doc

Microsoft Word - DM7612_cn.doc 概述 主要是作为 PIR ( Passive Infra-Red ) 的控制器, 利用 PIR 可以感应动作的特性来启动电路功能, 其内部架构采用模拟及数字混合电路的 Mixed-mode 方式来设计, 各种状况下使用皆十分稳定, 输出部份可由使用者选择驱动 或 增加了应用上的灵活度, 实际的应用线路相同简单, 可以大幅降低成本 功能特点 高抗噪声能力. 可驱动可控硅 ( ) 及继电器. 延时时间可调

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

<4D F736F F D20CAB5D1E9C6DF20BCAFB3C9D6B1C1F7CEC8D1B9B5E7D4B4C9E8BCC62E646F63>

<4D F736F F D20CAB5D1E9C6DF20BCAFB3C9D6B1C1F7CEC8D1B9B5E7D4B4C9E8BCC62E646F63> 一 实验目的. 了解集成稳压器的特性和使用方法 实验七集成直流稳压电源设计 (4 学时 ). 掌握集成稳压器主要性能指标的测试方法 3. 学会集成稳压器的设计方法 二 实验仪器及器件 序号名称型号 / 规格数量 面包板 BDCL 块 数字式万用表 UT58 块 3 数字存储示波器 TDS 00 台 4 三端集成稳压器 LM37 只 5 变压器 ( 实验室提供 ) 0V/V 只 6 整流二极管 N4007

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

第十五章 脉冲波形的产生和整形

第十五章  脉冲波形的产生和整形 第十五章脉冲波形的产生和整形 第十五章脉冲波形的产生和整形... 9 第一节概述... 9 5.. 脉冲电路的分析... 94 5.. 电路的应用... 95 第二节单稳态触发器... 98 5.. 用门电路组成的单稳态触发器... 98 5.. 集成单稳态触发器... 40 5.. 单稳态触发器的应用... 404 第三节多谐振荡器... 405 5.. 自激多谐振荡器... 405 5.. 环形振荡器...

More information

11

11 DC-DC 升压稳压变换器设计 一 设计任务 : 设计一个将 12V 升高到 24V 的 DC-DC 变换器 在 电阻负载下, 要求如下 : 1 输出电压 =24V 2 最大输出电流 max =1A 3 当输入 =11~13V 变化时, 电压调整率 S V 2%( 在 =1A 时 ) 4 当 从 变化到 1A 时, 负载调整率 S 5%( 在 =12V 时 ) 5 要求该变换器的在满载时的效率 η

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率 1 计算图 P1 所示各电路,K 打开时及闭合时的 U a U b 及 U ab 图 P1 2 电路如图 P2 所示, 求 U x I x 和电压源电流 电流源电压 图 P2 3 在图 P3 所示电路中, 试求受控源提供的电流以及功率 4 电路如图 P4 所示, 若 U s =19.5 V,U 1 =1 V, 试求 R 图 P3 图 P4 5 求图 P5 所示各二端网络的输入电阻 R i 6 求图

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流 LEDARE 里代尔 LED 灯泡技术规格 产品编号 : 00301423 LEDARE 里代尔 LED 灯泡 :E27,600 流明, 可调光 / 球形透明 LED1423G9/LED1427G9 供应商编号 : 22858/21633 信息 600 流明 小于 1 秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8.6 瓦 600 流明 灯具功率因素 0.7 显色 87 产品编号

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

脉冲宽度调制比较器为误差放大器调节输出脉宽提供了一个手段 : 当反馈电压从 0.5V 变化到 3.5 时, 输出的脉冲宽度从被死区确定的最大导通百分比时间中下降到零 两个误差放大器具有从 -0.3V 到 (Vcc-2.0) 的共模输入范围, 这可能从电源的输出电压和电流察觉得到 误差放大器的输出端常

脉冲宽度调制比较器为误差放大器调节输出脉宽提供了一个手段 : 当反馈电压从 0.5V 变化到 3.5 时, 输出的脉冲宽度从被死区确定的最大导通百分比时间中下降到零 两个误差放大器具有从 -0.3V 到 (Vcc-2.0) 的共模输入范围, 这可能从电源的输出电压和电流察觉得到 误差放大器的输出端常 TL494 脉宽调制控制电路 TL494 是一种固定频率脉宽调制电路, 它包含了开关电源控制所需的全部功能, 广泛应用于单端正激双管式 半桥式 全桥式开关电源 TL494 有 SO-16 和 PDIP-16 两种封装形式, 以适应不同场合的要求 其主要特性如下 : 主要特征 集成了全部的脉宽调制电路 片内置线性锯齿波振荡器, 外置振荡元件仅两个 ( 一个电阻和一个电容 ) 内置误差放大器 内止 5V

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

LED Smart Lighting with PFC & DALI solution

LED Smart Lighting with PFC & DALI solution 带 PFC 及 DALI 通讯的单芯片 LED 解决方案 瑞萨电子 RL78I1A 系列单片机的应用 瑞萨电子 ( 中国 ) 有限公司通用产品中心应用技术部史子光 2013/07/30 方案组成 主变压器用于 PFC 控制及 LED 等高压部分供电 3 通道 LED 降压驱动电路 主控制 MCU: RL78/I1A 调试接口 变阻器 副变压器, 提供 MCU 及其他低压供电 通讯模式开关 DMX&DALI

More information

EG4002 红外热释电处理芯片数据手册

EG4002 红外热释电处理芯片数据手册 ELERONIC GINT EG400 芯片数据手册 REV 1. 版本变更记录 版本号 日期 描述 V1.0 01 年 11 月 1 日 EG400 数据手册初稿 V1.1 01 年 0 月 6 日 添加光敏电阻应用图 V1. 01 年 04 月 6 日 更新光敏电阻应用图 / 1 目录 1. 特点... 4. 描述... 4. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义...

More information

BL34018-C

BL34018-C 1. 概述 合并了必需的放大器 衰减器和几种控制功能而设计成的一种高品质免提通话电路 它包括一个话筒放大器 一个用于扬声器的音频功率放大器 发送和接收衰减器 背景噪声电平检测系统和一个衰减器控制系统, 以对发送和接收电平好于背景噪声作出反应 电路上还包括内部和外部电路所需要的全部调整电流, 允许利用电话线上的电源工作 ( 不需要额外的附加电源 ) 电路上有片选输入以控制电路不工作时断电 外接一个电位器即可完成音量控制功能

More information

第 :9 卷第 期 93 4 年 月 电力电容器与无功补偿 "0 (%.% $ 0 ; "% $1" "0 (."&% $ -:9 93 ' 基于谐波误差补偿的单周控制有源电力滤波器! "#$%&' ()*+,-./0-(1 1 1 ( 2$

第 :9 卷第 期 93 4 年 月 电力电容器与无功补偿 0 (%.% $ 0 ; % $1 0 (.&% $ -:9 93 ' 基于谐波误差补偿的单周控制有源电力滤波器! #$%&' ()*+,-./0-(1 1 1 ( 2$ 第 :9 卷第 期 93 4 年 月 电力电容器与无功补偿 "0 (%.%$0 ; "%$1" "0 (."&%$ -:993 ' 4 6578+49399.0.4 基于谐波误差补偿的单周控制有源电力滤波器!"#$%&' ()*+,-./0-(111(2$3456 789 :;+ 4?!"#$%&@A1BCDE4

More information

HT1215.pub

HT1215.pub HT 单相正弦波逆变控制芯片 芯片管脚图及说明 电气特性 工作电压 :.V~.V 总功耗 :mw 流出 的最大电流 :ma 流入 Vcc 的最大电流 :ma 任意引脚的最大输出灌电流 :ma 任意引脚的最大输出拉电流 :ma 单相开关电路图 : 电源 Vcc,.V~.V,: 外接晶振,MHz C,C :~pf : 遥控,P =H( 高电平时 )PWM~PWM 输出低电平, 当 P =L( 低电平时

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

何宇

何宇 MXT08 型电路 目录 概述 :... 特性 :... 电路结构图 :... 5 引脚坐标 :... 4 6 主要信号说明 :... 5 7 绝对最大额定值 :...6 8 电气特性 :... 6 9 LCD 参考图 :... 6 0 流程图 :... 7 功能描述 :...8 应用电路图 :... 9 概述 : MXT08 为一款高效能 CMOS 数字临床测量人体体温 IC, 测量范围从.0 C~4.9

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information

目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求

目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求 电子线路 ( 非线性部分 ) 实验指导书 2015 年 6 月 目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求 1 实验要求 (1) 认真复习实验所需知识 (2) 按时完成预习报告, 预习报告不合格不能进行实验 (3) 提前到达实验室, 迟到要扣分, 迟到 30 分钟以上者不能参加本次试验, 本次试验成绩为零 (4) 认真听讲 (5) 保持实验室秩序和卫生, 试验结束以后整理试验台和实验仪器,

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

µÍѹ¿ØÖƲúÆ·Óëϵͳ

µÍѹ¿ØÖƲúÆ·Óëϵͳ !"#$%&'()*+, 2004.10-2005 2004.10-2005 低压控制产品与系统价格表 此价格从 2004 年 10 月 1 日生效如有变更, 恕不事先通知 配电产品 1 3WL 24 3WT 34 3VL 72 3VT 81 3WN6 98 3VF 控制产品 SEAL 134 接触器 3TB 134 接触器组合 3TD 134 接触器 3TF 135 中间继电器 3TH 135 接触器附件

More information

Ps22Pdf

Ps22Pdf 1 1 3 3 6 7 7 8 9 10 10 12 ( VSR) 16 19 19 21 22 22 22 23 23 23 23 23 24 27 27 28 29 29 30 31 31 32 32 32 33 34 41 41 42 44 44 44 47 48 48 48 49 50 51 52 52 54 54 58 58 59 60 61 61 62 63 64 64 64 65 65

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

介绍

介绍 脉宽调制灯光亮度调节器集成电路 介绍 是双极工艺的脉宽调制集成电路, 驱动一个 N 沟道功率 MOSFET 场效应管, 用于高电位开关控制电路 是理想的灯光亮度 ( 明暗 ) 控制集成电路 例如 : 应用在仪表板上 ( 替代 U6083B) 附录中的 驱动一个 P 沟道功率 MOSFET 场效应管, 可用于 24V 电路 特点 脉宽调制时钟频率高达 2kHz 短路 掉载 过压和 V S 电源反接保护

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information