Arria II器件中的逻辑阵列模块与自适应逻辑模块- Arria II器件手册,卷 1,第2章

Size: px
Start display at page:

Download "Arria II器件中的逻辑阵列模块与自适应逻辑模块- Arria II器件手册,卷 1,第2章"

Transcription

1 12? 2010? AIIGX Arria II 器件中的逻辑阵列模块与自适应逻辑模块 AIIGX 本章节介绍了 Arria II 核心架构中逻辑阵列模块 (LAB) 的功能特性 LAB 是由称作自适应逻辑模块 () 的基本构造模块组成, 通过配置这些模块, 能够实现逻辑功能 算术功能以及寄存器功能 本章节涵盖以下两方面内容 : 逻辑阵列模块 第 2 1 页 自适应逻辑模块 第 2 5 页 逻辑阵列模块 图 2 1. Arria II 器件中的 LAB 结构 每一个 LAB 均由 10 个 多种进位链 共享算术链 LAB 控制信号 本地互联和寄存器链连接线组成 本地互联是在相同 LAB 中的 之间传输信号 直链互联使 LAB 能够驱动至其左右相邻的本地互联中 寄存器链连接将一个 寄存器的输出传输到 LAB 中相邻的 寄存器中 uartus II 编译器将相关的逻辑放置在 LAB 或者相邻的 LAB 中, 以支持本地使用 共享算术链和寄存器链连接, 从而实现性能和面积有效率 图 2 1 显示了 Arria II LAB 结构和 LAB 互联 C4 C12 R20 R4 s LAB MLAB 2011 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARCOPY, MAX, MEGACORE, NIOS, UARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Arria II 器件手册卷 1: 器件接口与集成 2010 年 12 月 反馈 订阅

2 2 2 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块逻辑阵列模块 存储器 LAB(MLAB) 是 Arria II 器件 LAB 的派生名称, 在 LAB 中添加了基于查找表 () 的 SRAM 性能 MLAB 最大支持 640 bit 的简单双端口 SRAM 您可以将 MLAB 中的每个 作为 64 1 或者 32 2 模块进行配置, 生成一个 或者 简单双端口 SRAM 模块的配置 MLAB 和 LAB 模块在 Arria II 器件中总是成对存在的 MLAB 是 LAB 的超集, 包含了 LAB 的所有特性 图 2 2 显示了 LAB 和 MLAB 拓扑结构示意图 f 要了解关于 MLAB 的详细信息, 请参考 TriMatrix Memory Blocks in Arria II evices 章节 图 2 2. Arria II 中 LAB 和 MLAB 的结构 (1) -based-64 x 1 (1) -based-64 x 1 -based-64 x 1 (1) -based-64 x 1 (1) -based-64 x 1 (1) LAB Control Block -based-64 x 1 (1) -based-64 x 1 (1) -based-64 x 1 (1) -based-64 x 1 (1) -based-64 x 1 (1) MLAB LAB Control Block LAB 图 2 2 注释 : (1) 您可以将 MLAB 作为普通的 LAB 使用, 或者作为双端口 SRAM 进行配置 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

3 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 3 逻辑阵列模块 LAB 互联 图 2 3. 直链连接 LAB 本地互联通过使用相同 LAB 中的行列互联以及 输出来驱动相同 LAB 中的 直链互联功能最大限度地降低了行列互联的使用, 并提供了更高的性能和更大的灵活性 位于左侧或右侧的相邻 LAB/MLAB 存储器模块或者 SP 模块也能够通过直链连接来驱动 LAB 的本地互联 每个 LAB 均能够通过快速本地和直链互联来驱动 30 个 10 个 位于任意给定的 LAB 中,10 个 位于相邻的每一个 LAB 中 图 2 3 显示了连接相邻 LAB 存储器模块 SP 模块或者 I/O 单元 (IOE) 输出的直联连接 irect link interconnect from left LAB, memory block, SP block, or IOE output irect link interconnect from right LAB, memory block, SP block, or IOE output s s irect link interconnect to left Local Interconnect irect link interconnect to right MLAB LAB Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

4 2 4 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块逻辑阵列模块 LAB 控制信号 图 2 4. 全 LAB 控制信号 每个 LAB 中均包含专用逻辑, 一次最多驱动 10 个控制信号到它的 中 这些控制信号包括三个时钟信号 三个时钟使能信号 两个异步清零信号 一个同步清零信号和一个同步加载控制信号 尽管在实现计数器时通常使用同步加载和清零信号, 但也可以与其它功能一起使用这两个信号 如图 2 4 所示, 每个 LAB 均含有两个唯一的时钟源和三个时钟使能信号 通过使用两个时钟源和三个时钟使能信号,LAB 控制模块可以生成三个时钟 每个时钟与时钟使能信号都是相连的 例如, 在特定的 LAB 中, 使用 labclk1 信号的 也会使用 labclkena1 信号 如果 LAB 同时使用时钟的上升沿和下降沿, 则也会使用两个全 LAB(LAB-wide) 时钟信号 置低时钟使能信号会关闭相应的全 LAB 时钟 LAB 行时钟 [5..0] 和 LAB 本地互联生成全 LAB 控制信号 除了数据分配, MultiTrack 互联固有的低偏斜也能够实现时钟和控制信号分配 There are two unique clock signals per LAB. edicated Row LAB Clocks Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect Local Interconnect labclk0 labclk1 labclk2 syncload labclr1 labclkena0 or asyncload or labpreset labclkena1 labclkena2 labclr0 synclr Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

5 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 5 自适应逻辑模块 自适应逻辑模块 图 2 5. Arria II 的高级结构图 是 Arria II 器件体系结构中逻辑的基本构造模块 每个 均包含多种基于 的资源, 这些资源可由两个自适应组合逻辑 (A) 和两个寄存器划分出来 通过使用两个组合 A 的八个输入, 一个 能够实现两种功能的各种组合 这一自适应特性使 能够完全向后兼容 4 输入 体系结构 通过使用 6 输入和某些 7 输入功能, 一个 可以实现任意功能 除了基于 A 的资源, 每个 还包含两个可编程寄存器, 两个专用完全加法器, 一个进位链, 一个共享算术链和一个寄存器链 通过使用这些专用资源, 能够有效地实现各种算术功能和移位寄存器 能够驱动所有类型的互联 : 本地 行 列 进位链 共享算术链 寄存器链和直接链接 图 2 5 显示了 Arria II 的高级结构框图 shared_arith_in carry_in Combinational/Memory A0 reg_chain_in labclk 6-Input adder0 reg0 6-Input adder1 reg1 Combinational/Memory A1 reg_chain_out shared_arith_out carry_out Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

6 2 6 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 图 2 6. Arria II 的详细连接示意图 图 2 6 显示了 中所有连接的详细示意图 shared_arith_in carry_in syncload aclr[1:0] clk[2:0] sclr reg_chain_in 0 4-INPUT GN 3-INPUT + CLR local interconnect row, column direct link routing row, column direct link routing 3-INPUT 1 4-INPUT 3-INPUT + CLR local interconnect row, column direct link routing row, column direct link routing 3-INPUT V CC shared_arith_out carry_out reg_chain_out 一个 包含两个可编程的寄存器, 其中每一个寄存器均有数据 时钟 时钟使能 同步和异步清零, 同步加载和清零输入 全局信号 通用 I/O(GPIO) 管脚或者内部逻辑都能够驱动寄存器的时钟和清零控制信号 GPIO 管脚或者内部逻辑能够驱动时钟使能信号 对于组合的功能, 寄存器被旁路, 的输出直接驱动到 的输出 每个 都有两组用于驱动本地 行 列布线资源的输出 加法器或者寄存器输出能够驱动 输出 ( 请参考图 2 6) 对于每组输出驱动器, 两个 输出能够驱动行 列或者直链布线连接, 并且其中的一个 输出也能够驱动本地互联资源 或者加法器能够驱动其中一组输出, 而寄存器能够驱动另一组输出 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

7 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 7 自适应逻辑模块 这一特性称为寄存器打包 (Register Packing), 能够使寄存器和组合逻辑用于互不相关的逻辑功能, 因而改善了器件的使用状况 改善布局布线的另一个机制是支持寄存器输出驱动回相同 的 中, 使寄存器与其本身的扇出 一起被打包 此外, 也能够驱动已寄存和未寄存的 或者加法器输出 uartus II 针对已优化的性能自动地对 进行配置 操作模式 Arria II 可以在以下模式操作 : 正常 (Normal) 扩展 (Extended ) 算术 (Arithmetic) 共享算术 (Shared Arithmetic) 寄存器 (-Register) uartus II 软件和所支持的第三方综合工具, 与参数化功能 ( 例如 : 参数化模块库 (LPM) 功能 ) 一起, 自动为常用功能 ( 例如 : 计数器 加法器 减法器和算术功能 ) 选择相应的模式 每一种模式以不同的方式使用 资源 在每一种模式中, 上的 11 个可用输入 包括 LAB 局部互联的 8 个数据输入, 来自前级 或 LAB 的进位输入 (carry-in), 来自前级 或 LAB 的共享算术链连接和寄存器链连接 被定向到不同的目的地以实现所需的逻辑功能 全 LAB 信号对寄存器提供时钟 异步清零 同步清零 同步加载和时钟使能控制 这些全 LAB 信号在所有的 模式中可用 要了解关于全 LAB 控制信号的详细信息, 请参考第 2 4 页 LAB 控制信号 Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

8 2 8 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 正常模式 正常模式适用于一般的逻辑运用和组合功能 在此模式下, 来自 LAB 本地互联的 8 个数据输入是到组合逻辑的输入 在正常模式下, 可以在一个 Arria II 中实现两个功能, 或者一个 6 输入功能 能够支持某些完全独立的功能组合, 以及具有共同输入的多种功能的组合 图 2 7 显示了正常模式下所支持的 组合 图 2 7. 正常模式中的 (1) combout0 5-Input combout0 combout1 5-Input combout1 5-Input 3-Input combout0 combout1 6-Input combout0 5-Input combout0 6-Input combout0 combout1 6-Input combout1 图 2 7 注释 : (1) 具有更少输入 ( 少于图中所示的 ) 的功能组合也是被支持的 例如, 具有以下数量输入的功能组合是被支持的 :4 和 3,3 和 3,3 和 2,5 和 2 正常模式完全向后兼容 4 输入 体系结构 对于两个 5 输入功能封装到一个 中的情况, 这两个功能必须要有至少两个共同输入, 分别是 和 4 输入功能与 5 输入功能的组合要求一个共同输 ( 或者 ) Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

9 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 9 自适应逻辑模块 在一个 中实现两个 6 输入功能的情况下, 要求四个输入必须共享, 并且组合功能必须相同 在不常使用的器件中, 一个 中的功能可能会通过 uartus II 在单独的 中实现, 从而实现可能的最佳性能 当器件开始运行,uartus II 将自动充分利用 Arria II uartus II 编译器自动搜索使用共同引脚的功能或者 中完全独立的功能, 有效地利用器件资源 此外, 通过设置位置约束, 您也能够手动控制资源的使用 通过使用输入 以及 和, 或者 和 可以实现任意的 6 输入功能 如果使用 和, 那么输出会被驱动到 register0, 并且 / 或者 register0 被旁路, 同时使用顶部的输出驱动器将数据驱动到互联 ( 请参考图 2 8) 如果 和 被使用, 那么输出要么驱动到 register1, 要么旁路 register1, 同时使用底部驱动器驱动到互联 uartus II Compiler 自动选择到 的输入 正常模式中的 支持寄存器打包 图 2 8. 正常模式中的输入功能 (1) 6-Input reg0 (2) labclk reg1 图 2 8 注释 : (1) 如果 和 用作到 6 输入功能的输入, 那么 和 可用于寄存器打包 (2) 只有在 6 输入功能未被寄存时, 输入才可用于寄存器打包 Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

10 2 10 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 扩展 模式 使用扩展 模式来实现 7 输入功能的一个指定集合 此集合必须是由共享 4 个输入的 2 个任意 5 输入功能来驱动的 2-to-1 多路复用器 图 2 9 显示了使用扩展 模式的所支持的 7 输入功能模板 在此模式中, 如果 7 输入功能是未寄存的, 那么未使用的第 8 个输入可用于寄存器打包 如图 2 9 中所示, 符合模板的功能经常出现在设计中, 作为 Verilog HL 或 VHL 代码中的 if- else 语句 图 2 9. 扩展 模式中所支持的 7 输入功能模板 5-Input 5-Input combout0 reg0 (1) This input is available for register packing. 图 2 9 注释 : (1) 如果 7 输入功能是未寄存的, 那么未使用的第 8 个输入可用于寄存器打包 第二个寄存器 reg1 不可用 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

11 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 11 自适应逻辑模块 算术模式 图 算术模式中的 算术模式是实现加法器 计数器 累加器 宽校验功能和比较器的理想选择 算术模式中的 使用两组 4 输入 以及两个专用全加器 专用加法器使 可用于执行预加器逻辑 ; 因此, 每一个加法器能够将两个 4 输入功能相加 四个 共享 和 输入 如图 2 10 所示, 进位输入信号传输至 adder0, 来自 adder0 的进位输出信号传输至 adder1 的进位输入信号 来自 adder1 的进位输出信号驱动到 LAB 中下一个 的 adder0 算术模式中的 能够驱动已寄存和未寄存的加法器输出 carry_in adder0 reg0 adder1 reg1 carry_out 在算术模式中, 支持同时使用加法器的进位输出和组合逻辑输出 加法器输出在此操作中被忽略 对于使用此模式的功能, 与组合逻辑输出一起使用加法器将节省高达 50% 的资源 此外, 算术模式也支持时钟使能 计数器使能 同步上下控制 加减控制 同步清零以及同步加载 LAB 本地互联数据输入生成时钟使能 计数器使能 同步上下以及加减控制信号 对于共享 中 4 个 的输入而言, 这些控制信号是很好的选择 同步清零和同步加载选项是对 LAB 中所有寄存器产生影响的全 LAB 信号 每个寄存器中的这些信号能够被单独禁用或使能 uartus II 自动将没有被计数器使用的寄存器布局到其它 LAB 中 Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

12 2 12 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 进位链 (Carry Chain) 进位链提供了算术或者共享算术模式中专用加法器之间的快速进位功能 Arria II 器件中的 2-bit 进位选择特性将 中的进位链传播延迟减少一半 进位链开始于 LAB 中的第一个 或者第五个 最后的进位输出信号路由至 中, 驱动本地 行或者列互联 uartus II 编译器在设计过程中会自动生成进位链逻辑, 或者在设计输入期间手动创建进位链逻辑 参数化功能 ( 例如 LPM) 会自动针对相应的功能来使用进位链 uartus II 编译器通过自动将 LAB 连接在一起来创建超过 20 个 长的进位链 ( 算术或者共享算术模式中的 10 个 ) 要增强布局布线, 长进位链需要纵向运行, 并实现到 TriMatrix 存储器和 SP 模块的快速水平连接 进位链持续运行直到全列 当实现高扇进算术功能时, 要避免器件中一小块区域内的布线拥塞, 连接到下一个 LAB 之前,LAB 能够对只使用 LAB 的上半部或者下半部的进位链提供支持 这样,LAB 中的 另半部可用于实现正常模式中较窄的扇进功能 使用第一个 LAB 中的头五个 的进位链传输到列中下一个 LAB 中的 的上半部 使用第一个 LAB 中的后五个 的进位链传输至列中下一个 LAB 中的 的下半部 在每隔一个 LAB 列中, 上半部能够被旁路 ; 在其它 MLAB 列中, 下半部能够被旁路 1 要了解关于进位链互联的详细信息, 请参考第 2 17 页 互联 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

13 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 13 自适应逻辑模块 共享算术模式 图 共享算术模式中的 在共享算术模式中, 可以实现其中的 3 输入加法 在此模式中, 使用四个 4 输入 对 进行配置 每个 要么计算三个输入的和, 要么计算三个输入的进位 通过使用称作共享算术链的专用连接, 将进位计算的输出传送到下一个加法器 这一共享算术链通过减少实现加法器数所要求的求和步骤来显著地提升加法器树的性能 图 2 11 显示了使用这一特性的 shared_arith_in carry_in labclk reg0 reg1 shared_arith_out carry_out 您能够在很多不同的应用中发现加法器树 例如, 基于逻辑的乘法器中的部分乘积的求和能够在树结构中实现 另一个例子是相关器功能, 使用一个大型加法器树在给定时间内对已过滤的数据采样求和, 来对使用扩频技术传输的数据进行恢复或者解扩散 Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

14 2 14 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 共享算术链增强算术模式中共享算术链使 能够实现 3 输入加法 这样可以显著降低用于实现大型加法器树或者相关器功能的必要资源 共享算术链开始于 LAB 中的第一个或者第六个 uartus II 编译器通过自动将 LAB 连接在一起来创建超过 20 个 长的共享算术链 ( 算术或者共享算术模式中的 10 个 ) 要增强布局布线, 需要长共享算术链纵向运行, 使快速水平连接到 TriMatrix 存储器和 SP 模块 共享算术链继续运行直到全列 与进位链类似, 相隔 LAB 列中的共享算术链的上半部和下半部能够被旁路 这一性能使得共享算术链通过 LAB 中的一半 进行级联, 而剩下的一半用于较窄扇进功能 每隔一个 LAB 列是上半部可旁路的, 而其它 LAB 列是下半部可旁路的 1 要了解关于共享算术链互联的详细信息, 请参考第 2 17 页 互联 寄存器模式 寄存器模式支持在 中使用第三个寄存器 两个内部反馈环路支持组合的 A1 实现主锁存, 并支持组合的 A0 实现从锁存, 这两种锁存都是第三个寄存器所需要的 寄存器与顶部专用寄存器一起共享它的时钟 时钟使能和异步清零源 图 2 12 显示了使用 中两个组合模块构建的寄存器 图 来自两个组合模块的 寄存器 clk aclr 4-input sumout combout regout Master latch sumout datain() sclr 5-input combout Slave latch Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

15 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 15 自适应逻辑模块 图 2 13 显示了 寄存器模式中的 图 具有第 3 个寄存器的 寄存器模式中的 clk [2..0] aclr [1..0] reg_chain_in Third register C1 datain aclr sclr regout latchout aclr datain sdata regout lelocal 0 leout 0 a leout 0 b E0 F1 lelocal 1 aclr datain E1 F0 sdata regout leout 1 a leout 1 b reg_chain_out Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

16 2 16 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块自适应逻辑模块 寄存器链 除了一般的布线输出, 在任何给定的 LAB 中 都有寄存器链输出, 使相同 LAB 中的寄存器被级联在一起 寄存器链互联支持 LAB 将 用于单一组合功能, 并将寄存器用于互不相关的移位寄存器实现 在节省了本地互联资源的同时, 这些资源还加速了 之间的连接 ( 请参考图 2 14) uartus II 编译器自动利用这些资源来提高使用率及性能 图 LAB 中的寄存器链 (1) adder0 From previous in the LAB reg_chain_in labclk reg0 Combinational Logic adder1 reg1 adder0 reg0 Combinational Logic adder1 reg1 reg_chain_out To next in the LAB 图 2 14 注释 : (1) 您可以使用组合逻辑或者加法器逻辑来实现互不相关, 未寄存的功能 1 要了解关于寄存器链互联的详细信息, 请参考第 2 17 页 互联 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

17 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块 2 17 自适应逻辑模块 互联 之间有三个专用通路 : 寄存器级联 (Register Cascade) 进位链 (Carry- chain) 和共享算术链 (Shared Arithmetic chain) Arria II 器件在 LAB 中包含的一个增强的互联结构, 实现有效算术功能的共享算术链以及进位链的布线 寄存器链连接支持一个 的寄存器输出直接连接到 LAB 中的下一个 的寄存器输出, 实现快速移位寄存器 这些 -to- 连接会旁路本地互联 图 2 15 显示了共享算术链 进位链和寄存器链互联 图 共享算术链 进位链和寄存器链互联 Local interconnect routing among s in the LAB Carry chain & shared arithmetic chain routing to adjacent 1 2 Register chain routing to adjacent 's register input Local interconnect 清零和预设逻辑控制 全 LAB 信号控制寄存器清零信号的逻辑 直接支持异步清零功能 通过 uartus II 的非门推回逻辑 (NOT-gate push-back logic) 选项来实现寄存器预设 每个 LAB 支持两个清零操作 Arria II 器件提供一个对器件中所有寄存器进行复位的全器件复位管 (EV_CLRn) 在 uartus II 中进行编译前, 所设置的选项将使能这一管脚 这一全器件复位覆盖所有其它控制信号 LAB 功耗管理技术 下面的技术用于管理 LAB 中的静态及动态功耗 : 当 加法器没有用于降低 AC 功耗时,uartus II 强制所有的加法器输入为低 Arria II LAB 运行在高性能或者低功耗模式 uartus II 根据设计的要求自动为 LAB 选择相应的模式, 从而优化速度与漏电之间的综合标准 Altera 公司 2010 年 12 月 Arria II 器件手册卷 1: 器件接口与集成

18 2 18 第 2 章 :Arria II 器件中的逻辑阵列模块与自适应逻辑模块文档修订历史 由于时钟的高度开关活动性与较长通路特性, 时钟代表了动态功耗十分重要的部分 对 LAB 中的寄存器分配时钟信号的 LAB 时钟, 是产生整个时钟功耗的主要因素 每个 LAB 时钟与时钟使能信号都是相互连接的 例如, 在特定 LAB 中的组合 A 或者寄存器使用 labclk1 信号, 同时也使用 labclkena1 信号 要想在不禁用整个时钟树的情况下禁用全 LAB 时钟功耗, 则需要使用全 LAB 时钟使能信号来选通全 LAB 时钟 uartus II 自动将寄存器级时钟使能信号提升至 LAB 级 LAB 中所有共享通用时钟与时钟使能信号的的寄存器都是由共享选通的时钟控制的 要利用这些时钟使能信号, 需要将 HL 代码中时钟使能构建功能用于寄存的逻辑 f 要了解关于实现 LAB 中静态及动态功耗的详细信息, 请参考 uartus II Handbook 第 2 卷的 Power Optimization 章节 文档修订历史 表 2 1 列出了本文档的修订历史 表 2 1. 文档修订历史 日期版本修订内容 2010 年 12 月 2.0 针对 uartus II 10.1 的发布所作的更新 : 添加了 Arria II GZ 器件信息 更新了第 2 1 页 逻辑阵列模块 第 2 3 页 LAB 互联 第 2 4 页 LAB 控制信号 第 2 5 页 自适应逻辑模块 第 2 7 页 操作模式 和第 2 8 页 正常模式 部分 添加了图 2 7 和图 2 8 添加了第 2 17 页 LAB 功耗管理技术 部分 2009 年 6 月 1.1 更新了图 年 2 月 1.0 首次发布 Arria II 器件手册卷 1: 器件接口与集成 Altera 公司 2010 年 12 月

Stratix IV器件中的逻辑阵列模块和自适应模块, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IV器件中的逻辑阵列模块和自适应模块, Stratix IV Device Handbook, Volume 1, Ch 2 2? 2011? SIV51002-3.1 2. Stratix IV 器件中的逻辑阵列模块和自适应模块 SIV51002-3.1 本章节介绍了 Stratix IV 核心架构中的逻辑阵列模块 (LAB) 的特性 LAB 由自适应逻辑模块 (ALM) 构成, 通过配置这些 ALM 能够实现逻辑功能 算术功能和寄存器功能 LAB 和 ALM 是 Stratix IV 器件的基本构造模块, 用于配置逻辑

More information

逻辑阵列模块和自适应逻辑模块

逻辑阵列模块和自适应逻辑模块 1 SV51002 订阅 本章节介绍了 Stratix V 核心架构中逻辑阵列模块 (LAB) 的功能特性 LAB 是由称作自适应逻辑模块 () 的基本构造模块组成, 通过配置这些模块, 能够实现逻辑功能 算术功能以及寄存器功能 您可以将 Stratix V 器件中一半的 LAB 用作存储器 LAB (MLAB) Quartus II 软件和所支持的第三方综合工具, 与参数化功能 ( 例如 : 参数化模块库

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

MAX 10嵌入式乘法器用户指南

MAX 10嵌入式乘法器用户指南 UG-M10DSP 2017.02.21 订阅 反馈 内容 内容 1 MAX 10 嵌入式乘法器模块概述... 3 2 MAX 10 嵌入式乘法器特性和体系结构...5 2.1 嵌入式乘法器体系结构... 5 2.1.1 输入寄存器... 5 2.1.2 乘法器级 (Multiplier Stage)...6 2.1.3 输出寄存器... 6 2.2 嵌入式乘法器操作模式... 6 2.2.1 18-Bit

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

Intel® Cyclone® 10 GX内核架构和通用I/O手册

Intel®  Cyclone® 10 GX内核架构和通用I/O手册 Intel Cyclone 10 GX 内核架构和通用 I/O 手册 订阅 官网最新文档 :PDF HTML 内容 内容 1. Intel Cyclone 10 GX 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1. LAB... 7 1.1.1. MLAB... 8 1.1.2. 本地和直链 (Direct Link) 互联... 9 1.1.3. 共享算术链和进位链互联...10 1.1.4.

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

Intel® Arria® 10内核架构和通用I/O手册

Intel®  Arria® 10内核架构和通用I/O手册 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1 Arria 10 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1 LAB... 7 1.1.1 MLAB... 8 1.1.2 本地和直链 (Direct Link) 互联... 9 1.1.3 共享算术链和进位链互联...10 1.1.4 LAB 控制信号... 11 1.1.5 ALM 资源... 12 1.1.6 ALM 输出...

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

最新执法工作手册(二百六十七)

最新执法工作手册(二百六十七) ................................. I .................... II ( ) 1997 1 14 3 ( ) () () () () () () 3 3 ( ) () () () () 7 30 1 3 1000 5000 () () () 1 5 1000 5000 () () () ()30 () ()

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Intel® Cyclone® 10 LP器件概述

Intel®  Cyclone® 10 LP器件概述 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 Cyclone 10 LP 器件概述...3 Cyclone 10 LP 特性汇总... 4 Cyclone 10 LP 可用选项... 5 Cyclone 10 LP 最大资源...6 Cyclone 10 LP 封装规划... 6 Cyclone 10 LP I/O 纵向移植...7 逻辑单元和逻辑阵列模块...7 嵌入式乘法器...8

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

Microsoft Word - A1译者的话.doc

Microsoft Word - A1译者的话.doc BC 省 司 机 道 路 意 识 手 册 根 据 ICBC 2000 年 版 ROADSENSE FOR DRIVERS 编 译 尤 其 适 合 于 用 国 语 ( 普 通 话 ) 考 试 的 人 士 原 文 译 者 : 彭 铁 兵 (pengtiebing@yahoo.com) 修 订 排 版 : 张 文 (wenzhangok@gmail.com) 修 订 日 期 :2006/2/14 译 者

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年 Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

幻灯片 1

幻灯片 1 课 程 编 号 :MSG331 Office Communications Server 2007 不 Exchange Server 2007 协 同 应 用 案 例 演 示 UC 熟 知 系 列 主 要 内 容 沟 通 现 状 基 础 架 构 的 挑 戓 统 一 沟 通 模 式 统 一 通 讯 革 命 整 合 Exchange UM 不 OCS 的 好 处 OCS 不 Exchange 协 同

More information

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断 第 1 节 投 资 银 行 业 务 概 述 1. 投 资 银 行 的 含 义 [ 熟 悉 ]: 等 第 1 章 证 劵 经 营 机 构 的 投 资 银 行 业 务 (1) 狭 义 的 就 是 指 某 些 资 本 市 场 活 动, 着 重 指 一 级 市 场 上 的 承 销 并 购 和 融 资 活 动 的 财 务 顾 问 (2) 广 义 的 包 括 公 司 融 资 并 购 顾 问 股 票 和 债 券

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Stratix V器件中的嵌入式存储器模块

Stratix V器件中的嵌入式存储器模块 2 SV51003 订阅 器件中的嵌入式存储器模块具有高度灵活性, 并能够根据您的设计要求提供最佳数量的小型或大型存储器阵列 相关链接 Stratix V Device Handbook: Known Issues 列出了对 Stratix V 器件手册章节的规划更新 嵌入式存储器类型 Stratix V 器件包含两种类型的存储器模块 : 20 Kb M20K 模块 专用存储器资源的模块 M20K

More information

封面.PDF

封面.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

優質居所 攜手共建

優質居所 攜手共建 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority.

More information

中 國 語 文 卷 一 : 閱 讀 能 力 考 核 考 試 範 圍 : 新 高 中 中 國 語 文 新 編 第 三 冊 單 元 九 十 十 一 ; 第 四 冊 單 元 十 二 小 說 與 文 化 ( 選 修 單 元 ): 英 雄 與 俠 義 考 試 模 式 : ( 全 答 )( 佔 全 科 30%)

中 國 語 文 卷 一 : 閱 讀 能 力 考 核 考 試 範 圍 : 新 高 中 中 國 語 文 新 編 第 三 冊 單 元 九 十 十 一 ; 第 四 冊 單 元 十 二 小 說 與 文 化 ( 選 修 單 元 ): 英 雄 與 俠 義 考 試 模 式 : ( 全 答 )( 佔 全 科 30%) 附 件 2 2015-2016 年 度 下 學 期 考 試 中 五 級 考 試 範 圍 及 溫 習 資 料 目 錄 考 試 科 目 考 試 時 限 頁 數 中 國 語 文 卷 一 ( 閱 讀 ):1 小 時 15 分 鐘 2-3 卷 二 ( 作 文 ):1 小 時 30 分 鐘 卷 三 ( 聆 聽 及 綜 合 能 力 ):1 小 時 40 分 鐘 卷 四 ( 說 話 ) English Language

More information

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应 兰迪奥尔康对 维护生命 反对堕胎 立场的简洁而全面的介绍对无处不在 敞开心扉 却往往张口结舌 的维护生命运动倡导者来说 是一个非常 宝贵的资源 弗雷德 马太 格林 专栏作家 评论员 以及 真正的选择 倾听女性 寻找人工流产的替代方式 的作者 这本引人注目的书集粉碎了老一套的模式 这将有助于医治美国最紧迫的 内部冲突造成的创伤 但以理 拉品 走向传统 犹太人和基督徒的国家联盟 主席 作者和电台脱口秀主持人

More information

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12 ZT210/ZT220/ZT230 快 速 参 考 指 南 本 指 南 用 于 指 导 您 执 行 打 印 机 的 日 常 操 作 有 关 详 细 信 息, 请 参 见 用 户 指 南 打 印 机 组 件 图 1 显 示 了 打 印 机 介 质 舱 内 的 组 件 根 据 打 印 机 型 号 和 已 安 装 选 件 的 不 同, 打 印 机 的 外 观 会 略 有 差 别 带 有 标 签 的 组 件

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

WFC40810

WFC40810 9000086873 (PD 85 05 10) Operating and Installation Instructions Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 有 的 遺 傳 病, 醫 生 對 於 它 的 認 識 也 不 太 深, 何 況 我 這 個 平 凡

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message

国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message 中 国 新 闻 周 刊 2012 年 刊 例 国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message 中 国 新 闻 周 刊 China Newsweek 中 国 新 闻 社 China News Service 创 刊 于 1999 年 9 月,2000 年 1 月 1 日 正 式 China Newsweek, was first

More information

B

B 90/5/22 (90) 04594 91 12 31 P * 1-12 1 13 01 01 1 2 18-21 22-24 1 2 1 2 / 31-32 37-38 I4 I2I3I4 I2I3I4 . () () ( )... 00 90 010203040506 070809 101112 13141516 17 99 ( ) ( ) ( ) 91. 92. 1 1 2 3 4 ( ) 1

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

chap-1_NEW.PDF

chap-1_NEW.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

AD Y

AD Y FUJITSU Semiconductor FRAM FUJITSU SEMICONDUCTOR LIMITED , FRAM 1969, 47, FRAM Ferroelectric Random Access Memory 1995FRAM, 18, 45, 200 , FRAMIC,, RFID,,, FRAM,,,,,, Ferroelectric Random Access Memory

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

WVT new

WVT new Operating and Installation Instructions 5120 004601 (PD 84 09 25) Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

MWO Catalogue_2018

MWO Catalogue_2018 MWO1804 (CF25) Whirlpool, U.S.A. Whirlpool is a registered trademark of Whirlpool, U.S.A. All Rights Reserved. Whirlpool Experience Centre 100 36 03-04 Unit 03-04, 36/F, AIA Tower, 100 How Ming Street,

More information

Gowin可配置功能单元(CFU)

Gowin可配置功能单元(CFU) Gowin 可配置功能单元 (CFU) 用户指南 UG288-1.08,2016-10-27 版权所有 2016 广东高云半导体科技股份有限公司 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外,

More information

Intel® Stratix® Avalon® -MM硬IP PCIe* 设计实例用户指南

Intel®  Stratix® Avalon®  -MM硬IP   PCIe*  设计实例用户指南 Intel Stratix 10 Avalon -MM 硬 IP PCIe* 设计实例用户指南 针对 Intel Quartus Prime 设计套件的更新 :17.1 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1. 快速入门指南... 3 1.1. 设计组件...3 1.2. 目录结构...4 1.3. 生成设计实例... 5 1.4. 仿真设计实例... 6 1.5. 编译设计实例并为器件编程...8

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

Altera SoC嵌入式设计套件用户指南

Altera SoC嵌入式设计套件用户指南 Altera SoC 嵌 入 式 设 计 套 件 用 户 指 南 订 阅 ug-1137 101 Innovation Drive San Jose, CA 95134 www.altera.com 内 容 SoC 嵌 入 式 设 计 套 件 简 介...1-1 概 述...1-1 Linux 器 件 树 二 进 制...1-2 硬 件 和 软 件 开 发 角 色...1-3 硬 件 工 程 师...

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区,

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区, UTAR NEW VILLAGE COMMUNITY PROJECT REPORT NAME OF NEW VILLAGE: KAMPUNG SURIA MENTAKAB PAHANG Project carried out by: Student Name Student ID Course Year / Semester 1. Tiang Min Yao 10ABB06950 Accounting

More information

untitled

untitled Georg Simon Member of the BPM Board of IDS Scheer AG & ARIS ARIS SAP 140 654,000 120 200 6,000 3000 600,000 146 ERP 2 BMW Financial Services < ARIS > IT ARIS ARIS, DSC (Dealer Service Center) 67 58, DSC

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

資料HDR作1-03 HDR技術動向

資料HDR作1-03 HDR技術動向 作 1-3 TV Platform Div. TV Platform Div. FHD () more UHD UHD (BT.2020) [cd/m 2 ] 10 9 10 8 10 6 10 4 10 2 10 0 10-2 10-4 10-6 () ~10 5 [cd/m 2 ] 10 9 10 8 10 6 10 4 10 2 10 0 10-2 10-4 10-6 2014 XDR/XDR-Pro

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免 使 用 Adobe Acrobat X 增 强 与 Microsoft SharePoint 及 Microsoft Office 的 协 作 通 过 将 基 于 PDF 的 工 作 流 程 集 成 到 SharePoint 和 Office 平 台 缩 短 项 目 审 阅 周 期 目 录 1: 内 容 概 要 1: 协 作 难 题 2: 加 快 审 阅 和 标 记 工 作 流 程 3: Adobe

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

SC-127.doc

SC-127.doc (accountability) e.g. AMSE CODE The Code contains mandatory requirements, specific prohibitions, and mandatory guidance for construction activities. The Code does not address all aspects of these activities

More information

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临 Abeloff s Clinical Oncology 临 床 肿 瘤 学 ( 第 5 版 ) 原 著 者 John E. Niederhuber James O. Armitage James H. Doroshow Michael B. Kastan Joel E. Tepper 主 译 孙 燕 ( 下 卷 ) 图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /(

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information