MAX 10嵌入式乘法器用户指南

Size: px
Start display at page:

Download "MAX 10嵌入式乘法器用户指南"

Transcription

1 UG-M10DSP 订阅 反馈

2 内容 内容 1 MAX 10 嵌入式乘法器模块概述 MAX 10 嵌入式乘法器特性和体系结构 嵌入式乘法器体系结构 输入寄存器 乘法器级 (Multiplier Stage) 输出寄存器 嵌入式乘法器操作模式 Bit 乘法器 Bit 乘法器 MAX 10 嵌入式乘法器实现指南 由 IP 内核生成的文件 Verilog HDL 原型位置 VHDL 组件声明位置 MAX 10 的 LPM_MULT (Multiplier) IP 内核参考 LPM_MULT 参数设置 信号 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 ALTMULT_ACCUM 参数设置 ALTMULT_ACCUM 端口 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 ALTMULT_ADD 参数设置 ALTMULT_ADD 端口 MAX 10 的 ALTMULT_COMPLEX (Complex Multiplier) IP 内核参考 ALTMULT_COMPLEX 参数设置 信号 A 档案 B 的附加信息 B.1 的文档修订历史

3 1 MAX 10 嵌入式乘法器模块概述 1 MAX 10 嵌入式乘法器模块概述 嵌入式乘法器可配置成一个 乘法器或者两个 9 9 乘法器 对于那些大于 的乘法运算, Quartus Prime 软件将多个嵌入式乘法器模块级联在一起 虽然没有乘法器数据位宽的限制, 但数据位宽越大, 乘法运算就会越慢 图 1. 与 LAB 相邻按列排列的嵌入式乘法器 Embedded Multiplier Column 1 LAB Row Embedded Multiplier 表 1. MAX 10 器件中的嵌入式乘法器数量 器件 嵌入式乘法器 9 x 9 乘法器 (1) 18 x 18 乘法器 (1) 10M M M M M M M (1) 这些列显示了每个器件中 9 x 9 或者 18 x 18 乘法器的数量 每个器件的存储器总数并不是所有乘法器的和 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

4 1 MAX 10 嵌入式乘法器模块概述 通过将 M9K 存储器模块用作查找表 (LUT) 可以实现软乘法器 LUT 中存储了输入数据同系数乘积的部分结果, 针对低成本 高容量的 DSP 应用, 实现了可变深度与宽度的高性能软乘法器 软乘法器的可用性增加了器件中可用乘法器的数量 表 2. MAX 10 器件中的乘法器数量 器件 嵌入式乘法器 软乘法器 (16 16) (2) 乘法器总数 (3) 10M M M M M M M 相关链接 档案 ( 第 27 页 ) 提供了之前版本的 LPM_MULT ALTMULT_ACCUM ALTMULT_ADD 和 ALTMULT_COMPLEX IP 内核的用户指南 (2) 在乘法运算和模式下实现软乘法器 通过使用 18-bit 数据位宽对 M9K 存储器模块进行配置以支持 16-bit 系数 系数的和要求 18-bit 解析度来产生上溢 (3) 乘法器总数会根据所使用的乘法器模式的不同而有所不同 4

5 2 MAX 10 嵌入式乘法器特性和体系结构 2 MAX 10 嵌入式乘法器特性和体系结构 每个嵌入式乘法器由三个单元组成 根据应用需要, 您可以在两种操作模式中的其中一种下使用嵌入式乘法器模块 2.1 嵌入式乘法器体系结构 每个嵌入乘法器都由以下单元组成 : 乘法器级 (multiplier stage) 输入和输出寄存器 输入和输出接口 图 2. 乘法器模块体系结构 signa signb aclr clock ena Data A D Q ENA CLRN D ENA Q Data Out Data B D Q ENA CLRN Input Register CLRN Output Register Embedded Multiplier Block 输入寄存器 根据乘法器的操作模式, 您可以将每个乘法器输入信号发送至下面其中一个组件 : 输入寄存器 9-bit 或 18-bit 部分中的乘法器 每个乘法器输入信号可独立于其它输入信号通过寄存器发送 例如, 您可以通过寄存器发送乘法器 Data A 信号, 并直接发送 Data B 信号到乘法器 以下控制信号可用于嵌入式乘法器中的每一个输入寄存器 : Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

6 2 MAX 10 嵌入式乘法器特性和体系结构 时钟 时钟使能 异步清零 同一个嵌入式乘法器中的所有输入与输出寄存器均由相同时钟信号 时钟使能信号以及异步清零信号驱动 乘法器级 (Multiplier Stage) 嵌入式乘法器模块的乘法器级支持 9 9 或 以及这些配置之间的其它乘法器 根据乘法器的数据宽度或者操作模式, 一个嵌入式乘法器能够同时执行一个或者两个乘法运算 乘法器的每一个操作数都是一个唯一的有符号或者无符号数 signa 和 signb 这两个信号控制乘法器的输入, 并决定值是有符号的还是无符号的 如果 signa 信号为高, 那么 Data A 操作数是一个有符号数值 如果 signa 信号为低, 那么 Data A 操作数是一个无符号数值 下表列出了不同符号类型的操作数的乘积结果对应的符号类型 如果任意一个操作数是有符号的, 那么乘法运算的结果也是有符号的 Data A Data B 结果 signa 值逻辑电平 signb 值逻辑电平 无符号低无符号低无符号 无符号低有符号高有符号 有符号高无符号低有符号 有符号高有符号高有符号 输出寄存器 通过动态改变 signa 和 signb 信号可以在运行时修改输入操作数的符号表示 您可以通过专用的输入寄存器发送 signa 和 signb 信号 不管符号表示如何, 乘法器都支持全精度 当 signa 和 signb 信号未被使用时,Quartus Prime 软件在默认情况下会设置乘法器以执行无符号乘法运算 您可以使用 18-bit 或 36-bit 部分中的输出寄存器来寄存嵌入式乘法器输出 这取决于乘法器的操作模式 下面的控制信号可用于嵌入式乘法器中的每一个输出寄存器 : 时钟 时钟使能 异步清零 同一个嵌入式乘法器中的所有输入与输出寄存器均由相同时钟信号 时钟使能信号以及异步清零信号驱动 2.2 嵌入式乘法器操作模式 据不同的应用需要, 您可以在下面其中一种操作模式下使用嵌入式乘法器模块 : 一个 18-bit x 18-bit 乘法器 最多两个 9-bit x 9-bit 独立乘法器 6

7 2 MAX 10 嵌入式乘法器特性和体系结构 Bit 乘法器 通过使用 MAX 10 器件的嵌入式乘法器, 可以实现乘法加法器和乘法累加器功能, 此功能的乘法器部分由嵌入式乘法器来实现, 而加法器或者累加器功能则在逻辑单元 (LE) 中实现 您可以配置每一个嵌入式乘法器来支持 10 bit 到 18 bit 输入宽度的 18 x 18 乘法器 下图显示了通过配置嵌入式乘法器以支持一个 18-bit 乘法器 图 Bit 乘法器模式 signa signb aclr clock ena Data A [17..0] D Q ENA CLRN D ENA Q Data Out [35..0] Data B [17..0] D ENA Q CLRN CLRN 18 x 18 Multiplier Embedded Multiplier Bit 乘法器 所有 18-bit 乘法器输入与结果均通过寄存器被分别发送 乘法器输入接受有符号整数, 无符号整数或者两者的组合 此外, 您也可以动态地改变 signa 和 signb 信号, 并通过专用输入寄存器发送这些信号 您可以配置每一个嵌入式乘法器来支持最高 9 bits 输入宽度的两个 9 9 独立乘法器 下图显示了通过配置嵌入式乘法器以支持两个 9-bit 乘法器 7

8 2 MAX 10 嵌入式乘法器特性和体系结构 图 4. 9-Bit 乘法器模式 signa signb aclr clock ena Data A 0 [8..0] D Q ENA CLRN D ENA Q Data Out 0 [17..0] Data B 0 [8..0] D ENA Q CLRN CLRN 9 x 9 Multiplier Data A 1 [8..0] D Q ENA CLRN D ENA Q Data Out 1 [17..0] Data B 1 [8..0] D ENA Q CLRN CLRN 9 x 9 Multiplier Embedded Multiplier 所有 9-bit 乘法器输入与结果均通过寄存器被分别发送 乘法器输入接受有符号整数 无符号整数或者两者的组合 每个嵌入式乘法器模块只有一个 signa 信号和一个 signb 信号用于控制模块输入数据的符号表示 如果嵌入式乘法器模块有两个 9 9 乘法器, 那么 : 这两个乘法器的 Data A 输入共享同一个 signa 信号 这两个乘法器的 Data B 输入共享同一个 signb 信号 8

9 3 MAX 10 嵌入式乘法器实现指南 3 MAX 10 嵌入式乘法器实现指南 Quartus Prime 软件包含用于创建和编译设计, 配置器件的工具 通过使用 Quartus Prime 软件可以进行器件移的准备, 设置管脚约束, 定义布局限制, 建立时序约束和定制 IP 内核 相关链接 Intel FPGA IP 内核简介提供有关所有 Intel FPGA IP 内核的一般信息, 包括参数化 生成 更新和仿真 IP 内核 创建版本独立的 IP 和 Qsys 仿真脚本创建不需要对软件进行手动更新和不需要 IP 版本升级的仿真脚本 工程管理最佳方法提供关于您的工程和 IP 文件的高效管理和可移植性指南 3.1 由 IP 内核生成的文件 下面的整数运算 IP 内核使用 MAX 10 器件嵌入式乘法器模块 : LPM_MULT ALTMULT_ACCUM (MAC) ALTMULT_ADD ALTMULT_COMPLEX Verilog HDL 原型位置 在下面的 Verilog 设计文件中 (.v) 可以查看 IP 内核的 Verilog HDL 原型 : 表 3. Verilog HDL 原型位置 整数运算宏功能目录 Verilog 设计文件 (.v) LPM_MULT ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX <Quartus Prime installation directory>\eda \synthesis <Quartus Prime installation directory>\eda \synthesis lpm.v altera_mf.v VHDL 组件声明位置 在下面的 VHDL 设计文件 (.vhd) 中可以查看 IP 内核的 VHDL 组件声明 : Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

10 3 MAX 10 嵌入式乘法器实现指南 整数算术宏功能目录 VHDL 设计文件 (.vhd) LPM_MULT < installation directory>\libraries\vhdl\lpm LPM_PACK.vhd ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX < installation directory>\libraries\vhdl \altera_mf altera_mf_components.vhd 10

11 4 MAX 10 的 LPM_MULT (Multiplier) IP 内核参考 4 MAX 10 的 LPM_MULT (Multiplier) IP 内核参考 4.1 LPM_MULT 参数设置 有三组选项 :General,General2 和 Pipeling 表 4. LPM_MULT 参数 - General 该表列出了适用于 MAX 10 器件的 IP 内核参数 Multiplier configuration dataa 输入乘以 datab 输入 dataa 输入的平方 ( 平方运算 ) 指定乘数配置 How wide should the dataa input be? How wide should the datab input be? LPM_WIDTHA 指定 dataa[] 端口的宽 度 LPM_WIDTHB 指定 datab[] 端口的宽 度 How should the width of the result output be determined? LPM_WIDTHP 自动计算宽度 将宽度限制成 [] 比特 指定如何确定结果宽度 How should the width of the result output be determined? > Restrict the width to [] bits LPM_WIDTHP How should the width of the result output be determined? > Restrict the width to [] bits = On 您可以设置结果宽度 表 5. LPM_MULT 参数 - General2 该表列出了适用于 MAX 10 器件的 IP 内核参数 Does the datab input bus have a constant value? Which type of multiplication do you want? No Yes, the value is [] LPM_REPRESENTATION 无符号 有符号 您可以指定 datab 输入总线的常量值 指定乘法操作的类型 Which multiplier implementation should be used? DEDICATED_MULTIPLIER _CIRCUITRY 使用默认实现 使用专用乘法器电路 ( 不适用于所有器件系列 ) 使用逻辑单元 指定乘法器实现 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

12 4 MAX 10 的 LPM_MULT (Multiplier) IP 内核参考 表 6. LPM_MULT 参数 - Pipeling 该表列出了适用于 MAX 10 器件的 IP 内核参数 Do you want to pipeline the function? LPM_PIPELINE No Yes, I want output latency of [] clock cycles 你可以对输出添加额外的延迟 Create an aclr port Do you want to pipeline the function? = Yes, I want output latency of [] clock cycles On 或 off 对复合乘法器指定异步清零 当 aclr 端口拉高时, Clears the function asynchronously Create a clken clock enable clock Do you want to pipeline the function? = Yes, I want output latency of [] clock cycles On 或 off 对复合乘法器的时钟端口指定高电平有效时钟使能 What type of optimization do you want? MAXIMIZE_SPEED 默认 速度 面积 您可以指定优化的类型是由 Quartus Prime, 速度还是面积来决定 4.2 信号 表 7. LPM_MULT 输入信号 信号名称需要与否说明 dataa[] Yes 数据输入 datab[] Yes 数据输入 输入信号的大小取决于 LPM_WIDTHA 参数的值 输入信号的大小取决于 LPM_WIDTHB 参数的值 clock No 流水线使用的时钟输入 ForLPM_PIPELINE values other than 0 (default), the clock signal must be enabled. clken No 流水线使用的时钟使能 当 clken 信号被置位高电平时, 开始加法器 / 减法器操作 当该 信号为低时, 没有操作 如果省略, 默认值是 1 aclr No 异步清零信号随时用于将流水线时钟信号异步复位成全 0 流水线初始化到一个未定义的 (X) 逻辑电平 输出是一致的, 但为非 0 值 sclr No 同步清零信号随时用于将流水线时钟信号同步复位成全 0 流水线初始化到一个未定义的 (X) 逻辑电平 输出是一致的, 但为非 0 值 表 8. LPM_MULT 输出信号 信号名称需要与否说明 result[] Yes 数据输出 For Stratix V, Arria V and Cyclone V, the size of the output signal depends on the LPM_WIDTHP parameter value. If LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) or (LPM_WIDTHA + LPM_WIDTHS), only the LPM_WIDTHP MSBs are present. 12

13 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 5.1 ALTMULT_ACCUM 参数设置 有四组选项 :General,Extra Modes,Multipliers 和 Accumulator 表 9. ALTMULT_ACCUM 参数 - General 该表列出了适用于 MAX 10 器件的 IP 内核参数 What is the number of multipliers? NUMBER_OF_MULTIPL IERS - 1 默认情况下仅支持 1 个乘 法器 All multipliers have similar configurations How wide should the A input buses be? How wide should the B input buses be? How wide should the result output bus be? Create a 4 th input option Create an associated clock enable for each clock On 默认情况下, 所有的乘法 器都有类似的配置 WIDTH_A 指定 A 输入总线的宽度 WIDTH_B 指定 B 输入总线的宽度 WIDTH_RESULT 指定 result 输出总 线的宽度 On 或 Off 如果要创建第 4 个异步清 零输入选项, 那么开启此 选项 On 或 Off 如果要对每个时钟创建一 个关联时钟使能, 那么开 启此选项 What is the representation format for A inputs? REPRESENTATION_A 有符号 无符号 变量 指定 A 输入的表示格式 signa input controls the sign (1 signed/0 unsigned) PORT_SIGNA > What is the representation format for A inputs? = Variable 高电平 signa 输入表示有符号, 低电平 signa 输入表示无符号 注册 Signa 的 输入 > On 或 Off 如果要使能 signa 输入的寄存器, 那么开启此选项 Add an extra pipeline register > On 或 Off 如果要使能额外的流水线寄存器, 那么开启此选项 继续... Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

14 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 Input Register > What is the source for clock SIGN_REG_A > Input Register > What is the source for SIGN_ACLR_A > Pipeline Register > clock SIGN_PIPELINE_REG_ A > Pipeline Register > SIGN_PIPELINE_ACLR _A > What is the representation format for B inputs? REPRESENTATIONS_B 有符号 无符号 变量 指定 B 输入的表示格式 signb input controls the sign (1 signed/0 unsigned) PORT_SIGNB > What is the representation format for B inputs? = Variable 高电平 signb 输入表示有符号, 低电平 signb 输入表示无符号 Register signb input > On 或 Off 如果要使能 signb 输入的寄存器, 那么开启此选项 Add an extra pipeline register > On 或 Off 如果要使能额外的流水线寄存器, 那么开启此选项 Input Register > What is the source for clock SIGN_REG_B > Input Register > What is the source for SIGN_ACLR_B > Pipeline Register > clock SIGN_PIPELINE_REG_ B > Pipeline Register > SIGN_PIPELINE_ACLR _B > 表 10. ALTMULT_ACCUM 参数 - Extra Modes Create a shiftout output from A input of the last multiplier Create a shiftout output from B input of the last multiplier On 或 Off 开启此选项来创建一个从 最后乘法器的 A 输入的移 出 (shiftout) 输出 On 或 Off 开启此选项来创建一个从 最后乘法器的 B 输入的移 出 (shiftout) 输出 继续... 14

15 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 Add extra register(s) at the output On 默认情况下, 必须对累加 器使能输出寄存器 clock OUTPUT_REG Outputs Configuration > 对输出上的寄存器指定时钟信号 OUTPUT_ACLR Outputs Configuration > 对输出上的寄存器指定异步清零信号 Add [] extra latency to the output Outputs Configuration > 0,1,2,3,4,5,6, 7,8 或 12 指定添加到输出的额外延迟 Which multiplier-adder implementation should be used? DEDICATED_MULTIPLI ER_CIRCUITRY 使用默认实现 使用专用乘法器电路 ( 不适用于所有器件系列 ) 使用逻辑单元 指定乘法器实现 表 11. ALTMULT_ACCUM 参数 - Multipliers 该表列出了适用于 MAX 10 器件的 IP 内核参数 Register input A of the multiplier On 或 Off 开启以使能乘法器的寄存 器输入 A clock INPUT_REG_A Input Register input A of the multiplier = On Input 对 dataa[] 端口指定时钟端口 INPUT_ACLR_A Input Register input A of the multiplier = On Input 对 dataa[] 端口指定异步清零端口 Register input B of the multiplier On 或 Off 开启以使能乘法器的寄存 器输入 B clock INPUT_REG_B Input Register input B of the multiplier = On Input 指定 datab[] 端口的时钟端口 INPUT_ACLR_B Input Register input B of the multiplier = On Input 对 datab[] 端口指定异步清零端口 What is the input A of the multiplier connected to? Multiplier input 默认情况下, 乘法器的输 入 A 始终连接到该乘法器 的输入 继续... 15

16 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 What is the input B of the multiplier connected to? Register output of the multiplier Multiplier input 默认情况下, 乘法器的输 入 B 始终连接到该乘法器 的输入 On 或 Off 开启以使能乘法器的寄存 器输出 clock MULTIPLIER_REG Output Register output of the multiplier = On Output 对乘法器后面的寄存器指定时钟信号 MULTIPLIER_ACLR Output Register output of the multiplier = On Output 指定相应乘法器后面的寄存器的异步清零信号 表 12. ALTMULT_ACCUM 参数 - Accumulator 该表列出了适用于 MAX 10 器件的 IP 内核参数 Create an accum_sload input port On 或 Off 动态指定累加器的值是否为常量 如果 accum_sload 端口为高电平, 那么乘法器输出被加载到累加器中 Register accum_sload input Accumulator > Create an accum_sload input port = On Accumulator > On 或 Off 开启以使能寄存器 accum_sload 输入 Add an extra pipeline register Accumulator > Create an accum_sload input port = On Accumulator > On 或 Off 如果要使能额外的流水线寄存器, 那么开启此选项 Input Register > What is the source for clock ACCUM_SLOAD_REG Accumulator > Create an accum_sload input port = On Accumulator > 对 accum_sload 端口指定时钟信号 Input Register > What is the source for ACCUM_SLOAD_ACLR Accumulator > Create an accum_sload input port = On Accumulator > 对 accum_sload 输入上的第一个寄存器指定异步清零源 继续... 16

17 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 Pipeline Register > clock ACCUM_SLOAD_PIPEL INE_REG Accumulator > Create an accum_sload input port = On Accumulator > Pipeline Register > ACCUM_SLOAD_PIPEL INE_ACLR Accumulator > Create an accum_soad input port = On Accumulator > Create an overflow output port On 或 Off 累加器的 Overflow 端 口 Add [] extra latency to the multiplier output EXTRA_MULTIPLIER_L ATENCY 0,1,2,3,4,5,6, 7,8 或 12 对 DSP 模块的乘法器部分指定延迟的周期数 如果指定了 MULTIPLIER_REG 参数, 那么指定的时钟端口用于添加延迟 5.2 ALTMULT_ACCUM 端口 表 13. ALTMULT_ACCUM IP 内核输入端口 端口名称需要与否说明 accum_sload No 当与 0 连接时导致累加器反馈路径上的值变为零 (0) 或者 accum_sload_upper_data 如果累加器进行加法运算并且 accum_sload 端口是高电平, 那么乘法器输出被加载到累加器中 如果累加器进行减法运算, 那么乘法器输出的相反值 ( 负值 ) 被加载到累加器中 aclr0 No 第一个异步清零输入 aclr0 端口为高电平有效 aclr1 No 第二个异步清零输入 aclr1 端口为高电平有效 aclr2 No 第三个异步清零输入 aclr2 端口为高电平有效 aclr3 No 第四个异步清零输入 aclr3 端口为高电平有效 addnsub No 控制加法器的功能 如果 addnsub 端口为高电平时, 那么加法器执行加法运算 ; 如果 addnsub 端口为低电平, 加法器执行减法运算 clock0 No 指定第一个时钟输入, 可被 IP 内核中的任何寄存器使用 CLOCK1 No 指定第二个时钟输入, 可被 IP 内核中的任何寄存器使用 clock2 No 指定第三个时钟输入, 可被 IP 内核中的任何寄存器使用 clock3 No 指定第四个时钟输入, 可被 IP 内核中的任何寄存器使用 dataa[] Yes 乘法器的数据输入 输入端口的大小取决于 WIDTH_A 参数值 datab[] Yes 乘法器的数据输入 输入端口的大小取决于 WIDTH_B 参数值 ena0 No clock0 端口的时钟使能 ena1 No clock1 端口的时钟使能 ena2 No clock2 端口的时钟使能 继续... 17

18 5 的 ALTMULT_ACCUM (Multiply-Accumulate) IP 内核参考 端口名称需要与否说明 ena3 No clock3 端口的时钟使能 signa No 指定 dataa[] 端口的数值表示 如果 signa 端口为高电平, 那么乘法器将 dataa[] 端口当作有符号二进制补码 如果 signa 端口为低电平, 那么乘法器 将 dataa[] 端口当作无符号数 signb No 指定 datab[] 端口的数值表示 如果 signb 端口为高电平, 那么乘法器将 datab[] 端口当作有符号二进制补码 如果 signb 端口为低电平, 那么乘法器 将 datab[] 端口当作无符号数 表 14. ALTMULT_ACCUM IP 内核输出端口 端口名称需要与否说明 overflow No 累加器的上溢端口 result[] Yes 累加器输出端口 输出端口的大小取决于 WIDTH_RESULT 参数值 scanouta[] No 第一个移位寄存器的输出 输出端口的大小取决于 WIDTH_A 参数值 当通过 MegaWizard Plug-In Manager 例化 ALTMULT_ACCUM IP 内核时, MegaWizard Plug-In Manager 将 scanouta[] 端口重命名成 shiftouta 端口 scanoutb[] No 第二个移位寄存器的输出 输入端口的大小取决于 WIDTH_B 参数值 当通过 MegaWizard Plug-In Manager 例化 ALTMULT_ACCUM IP 内核时, MegaWizard Plug-In Manager 将 scanoutb[] 端口重命名成 shiftoutb 端口 18

19 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 6.1 ALTMULT_ADD 参数设置 有三组选项 :General,Extra Modes 和 Multipliers 表 15. ALTMULT_ADD 参数 - General 该表列出了适用于 MAX 10 器件的 IP 内核参数 What is the number of multipliers? NUMBER_OF_MULTIPL IERS 1,2,3 或 4 指定乘法器的数量, 最多 可以指定四个乘法器 All multipliers have similar configurations How wide should the A input buses be? How wide should the B input buses be? How wide should the result output bus be? Create a 4 th input option Create an associated clock enable for each clock On 或 Off 如果需要所有的乘法器有 类似的配置, 那么开启此 选项 WIDTH_A 指定 A 输入总线的宽度 WIDTH_B 指定 B 输入总线的宽度 WIDTH_RESULT 指定 result 输出总 线的宽度 On 或 Off 如果要创建第 4 个异步清 零输入选项, 那么开启此 选项 On 或 Off 如果要对每个时钟创建一 个关联时钟使能, 那么开 启此选项 What is the representation format for A inputs? REPRESENTATION_A 有符号 无符号 变量 指定 A 输入的表示格式 signa input controls the sign (1 signed/0 unsigned) PORT_SIGNA > What is the representation format for A inputs? = Variable 高电平 signa 输入表示有符号, 低电平 signa 输入表示无符号 Register signa input > On 或 Off 如果要使能 signa 输入的寄存器, 那么开启此选项 Add an extra pipeline register > On 或 Off 如果要使能额外的流水线寄存器, 那么开启此选项 继续... Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

20 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 Input Register > What is the source for clock SIGNED_REGISTER_A > Input Register > What is the source for SIGNED_ACLR_A > Pipeline Register > clock SIGNED_PIPELINE_RE GISTER_A > Pipeline Register > SIGNED_PIPELINE_AC LR_A > What is the representation format for B inputs? REPRESENTATIONS_B 有符号 无符号 变量 指定 B 输入的表示格式 signb input controls the sign (1 signed/0 unsigned) PORT_SIGNB > What is the representation format for B inputs? = Variable 高电平 signb 输入表示有符号, 低电平 signb 输入表示无符号 Register signb input > On 或 Off 如果要使能 signb 输入的寄存器, 那么开启此选项 Add an extra pipeline register > On 或 Off 如果要使能额外的流水线寄存器, 那么开启此选项 Input Register > What is the source for clock SIGNED_REGISTER_B > Input Register > What is the source for SIGNED_ACLR_B > Pipeline Register > clock SIGNED_PIPELINE_RE GISTER_B > Pipeline Register > SIGNED_PIPELINE_AC LR_B > 表 16. ALTMULT_ADD 参数 - Extra Modes 该表列出了适用于 MAX 10 器件的 IP 内核参数 Create a shiftout output from A input of the last multiplier Create a shiftout output from B input of the last multiplier On 或 Off 开启以创建一个 A 输入的 信号 On 或 Off 开启以创建一个 B 输入的 信号 继续... 20

21 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 Register output of the adder unit On 或 Off 开启以创建加法器单元的 寄存器输出 clock OUTPUT_REGISTER Outputs Register output of the adder unit = On Outputs 指定输出寄存器的时钟信号 OUTPUT_ACLR Outputs Register output of the adder unit = On Outputs What operation should be performed on outputs of the first pair of multipliers? MUTIPLIER1_DIRECTI ON General > What is the number of multipliers? = 2, 3, or 4 加 减 变量 指定第二个加法器对和进行加还是减 值是加和减 如果选择变量, 则使用 addnsub1 端口 addnsub1 input controls the operation (1 add/0 sub) Adder Operation > What operation should be performed on outputs of the first pair of multipliers? = Variable 高 addnsub1 输入表明加, 低 addnsub1 输入表明减 Register addnsub1' input Add an extra pipeline register On 或 Off 开启此选项以使能 addnsub1 输入的寄 存器 On 或 Off 如果要使能额外的流水线 寄存器, 那么开启此选 项 Input Register > What is the source for clock ADDNSUB_MULTIPLIE R_REGISTER[1] Adder Operation > Input Register > What is the source for ADDSUB_MULTIPLIER _ACLR[1] Adder Operation > Pipeline Register > clock ADDNSUB_MULTIPLIE R_PIPELINE_REGISTE R[1] Adder Operation > Pipeline Register > ADDNSUB_MULTIPLIE R_PIPELINE_ACLR[1] Adder Operation > What operation should be performed on outputs of the second pair of multipliers? MUTIPLIER3_DIRECTI ON General > What is the number of multipliers? = 4 指定第四个及后面所有奇数乘法器将其结果与和相加还是从和中减去 如果选择变量, 则使用 addnsub3 端口 继续... 21

22 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 addnsub3 input controls the sign (1 add/0 sub) - More Options Register addnsub3 input Add an extra pipeline register 高 addnsub3 输入表 明加, 低 addnsub3 输入表明减 On 或 Off 开启此选项以使能 addnsub3 输入的 寄存器 On 或 Off 若要使能额外的流水线寄 存器, 则要开启此选项 Input Register > What is the source for clock ADDNSUB_MULTIPLIE R_REGISTER[3] Adder Operation > Input Register > What is the source for ADDSUB_MULTIPLIER _ACLR[3] Adder Operation > Pipeline Register > clock ADDNSUB_MULTIPLIE R_PIPELINE_REGISTE R[3] Adder Operation > Pipeline Register > ADDNSUB_MULTIPLIE R_PIPELINE_ACLR[3] Adder Operation > Which multiplier-adder implementation should be used? DEDICATED_MULTIPLI ER_CIRCUITRY 使用默认实现 使用专用乘法器电路 ( 不适用于所有器件系列 ) 使用逻辑单元 指定乘法器加法器实现 表 17. ALTMULT_ADD 参数 - Multipliers 该表列出了适用于 MAX 10 器件的 IP 内核参数 Register input A of the multiplier On 或 Off 开启以使能乘法器的寄存 器输入 A clock INPUT_REGISTER_A[0..3] Input Register input A of the multiplier = On Input INPUT_ACLR_A[0..3] Input Register input A of the multiplier = On Input Register input B of the multiplier On 或 Off 开启以使能乘法器的寄存 器输入 B 继续... 22

23 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 clock INPUT_REGISTER_B[0..3] Input Register input B of the multiplier = On Input INPUT_ACLR_B[0..3] Input Register input B of the multiplier = On Input What is the input A of the multiplier connected to? INPUT_SOURCE_A[0.. 3] Multiplier input Shiftin input 指定乘法器的输入 A 连接到 multiplier input 还是 shiftin input What is the input B of the multiplier connected to? INPUT_SOURCE_B[0.. 3] Multiplier input Shiftin input 指定乘法器的输入 B 连接到 multiplier input 还是 shiftin input Register output of the multiplier On 或 Off 开启对乘法器的输出使能 寄存器 clock MULTIPLIER_REGISTE R[] Output Register output of the multiplier = On Output MULTIPLIER_ACLR[] Output Register output of the multiplier = On Output 6.2 ALTMULT_ADD 端口 表 18. ALTMULT_ADD IP 内核输入端口 端口名称 需要与否 说明 dataa[] Yes 乘法器的数据输入 输入端口 [NUMBER_OF_MULTIPLIERS * WIDTH_A ] 宽 datab[] Yes 乘法器的数据输入 输入端口 [NUMBER_OF_MULTIPLIERS * WIDTH_B ] 宽 clock[] No 到相应寄存器的时钟输入端口 [0..3] 此端口可被 IP 内核中的任何寄存器使用 aclr[] No 输入端口 [0..3] 到相应寄存器的异步清零输入 继续... 23

24 6 MAX 10 的 ALTMULT_ADD (Multiply-Adder) IP 内核参考 端口名称需要与否说明 ena[] No 输入端口 [0..3] 相应 clock[] 端口的时钟使能 signa No 指定 dataa[] 端口的数值表示 如果 signa 端口为高电平, 那么乘法器将 dataa[] 端口当 作有符号二进制补码 如果 signa 端口为低电平, 那么乘法器将 dataa[] 端口当作无符号 数 signb No 指定 datab[] 端口的数值表示 如果 signb 端口为高电平, 那么乘法器将 datab[] 端口当 作有符号二进制补码 如果 signb 端口为低电平, 那么乘法器将 datab[] 端口当作无符号 数 表 19. ALTMULT_ADD IP 内核输出端口 端口名称 需要与否 说明 result[] Yes 乘法器的输出端口 输出端口 [WIDTH_RESULT ] 宽 overflow No 上溢标志 如果 output_saturation 使能, 那么设置上溢标志 scanouta[] No 扫描链 A 的输出 输出端口 [WIDTH_A ] 宽 scanoutb[] No 扫描链 B 的输出 输出端口 [WIDTH_B ] 宽 24

25 7 MAX 10 的 ALTMULT_COMPLEX (Complex Multiplier) IP 内核参考 7 MAX 10 的 ALTMULT_COMPLEX (Complex Multiplier) IP 内核参考 7.1 ALTMULT_COMPLEX 参数设置 有两组选项 :General 和 Implementation Style/Pipelining 表 20. ALTMULT_COMPLEX 参数 - General 该表列出了适用于 MAX 10 器件的 IP 内核参数 How wide should the A input buses be? How wide should the B input buses be? How wide should the result output bus be? WIDTH_A 指定 A 输入总线的宽度 WIDTH_B 指定 B 输入总线的宽度 WIDTH_RESULT 指定 result 输出总 线的宽度 What is the representation format for A inputs? What is the representation format for B inputs? REPRESENTATION_A 有符号 无符号 REPRESENTATIONS_B 有符号 无符号 指定 A 输入的表示格式 指定 B 输入的表示格式 表 21. ALTMULT_COMPLEX 参数 - Implementation Style/Pipelining 该表列出了适用于 MAX 10 器件的 IP 内核参数 GUI 参数参数条件值 Description Which implementation style should be used? IMPLEMENTATION_STYLE Automatically select a style for best tradeoff for the current settings 默认情况下选择自动选择 MAX 10 器件 Quartus Prime 软件将根据所选器件系列和输入宽度来确定最佳实现 Output latency [] clock cycles Create an asynchronous Clear input Create clock enable input PIPELINE 0 14 指定输出延迟的的时钟周 期数 On 或 Off 指定复合乘法器的同步清 零 当 aclr 端口拉高 时, 异步清零功能 On 或 Off 对复合乘法器的时钟端口 指定高电平有效时钟使 能 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

26 7 MAX 10 的 ALTMULT_COMPLEX (Complex Multiplier) IP 内核参考 7.2 信号 表 22. ALTMULT_COMPLEX 输入信号 信号需要与否说明 aclr No 复合乘法器的异步清零 当置位 aclr 信号高电平, 该功能被异步清零 sclr No 复合乘法器的同步清零 当置位 sclr 信号高电平, 该功能被异步清零 clock Yes ALTMULT_COMPLEX 功能的时钟输入 dataa_imag[] Yes 复合乘法器数据 A 信号的虚数输入值 输入信号的大小取决于 WIDTH_A 参数的 值 dataa_real[] Yes 复合乘法器数据 A 信号的实数输入值 输入信号的大小取决于 WIDTH_A 参数的 值 datab_imag[] Yes 复合乘法器数据 B 信号的虚数输入值 输入信号的大小取决于 WIDTH_B 参数的 值 datab_real[] Yes 复合乘法器数据 B 信号的实数输入值 输入信号的大小取决于 WIDTH_B 参数的 值 ena No 复合乘法器时钟信号的有效高电平时钟使能 complex No 使能 普通模式与 复合模式之间动态切换的可选输入 此输入仅在 Stratix V 器件中可用 在 GUI 中, 此参数为 Dynamic Complex Mode 表 23. ALTMULT_COMPLEX 输出信号 信号需要与否说明 result_imag Yes 乘法器的虚数输出值 输出信号的大小取决于 WIDTH_RESULT 参数的值 result_real Yes 乘法器的实数输出值 输出信号的大小取决于 WIDTH_RESULT 参数的值 26

27 A 档案 A 档案 如果 IP 内核版本在下表中没有列出, 那么就使用之前 II 内核版本的用户指南 IP 内核版本 用户指南 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

28 B 的附加信息 B 的附加信息 B.1 的文档修订历史 日期版本修订内容 2017 年 2 月 重命名为 Intel 2016 年 5 月 更新了此用户指南中每个章节中的 MAX 10 添加了 存档 章节 2015 年 11 月 将 Quartus II 更改成 Quartus Prime 2014 年 9 月 首次发布 删除了 生成 IP 内核 主题, 对 Altera IP 内核简介, 创建版本独立的 IP 和 Qsys 仿真脚本和工程管理最佳方法的添加了链接 Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N

Quartus Prime 软件下载和安装快速入门指南 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, N 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words and logos are trademarks of Intel Corporation in

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

Intel® Stratix® Avalon® -MM硬IP PCIe* 设计实例用户指南

Intel®  Stratix® Avalon®  -MM硬IP   PCIe*  设计实例用户指南 Intel Stratix 10 Avalon -MM 硬 IP PCIe* 设计实例用户指南 针对 Intel Quartus Prime 设计套件的更新 :17.1 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1. 快速入门指南... 3 1.1. 设计组件...3 1.2. 目录结构...4 1.3. 生成设计实例... 5 1.4. 仿真设计实例... 6 1.5. 编译设计实例并为器件编程...8

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 - Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 Power Supplies, Information Technology Equipment Including Electrical Business Equipment - Component

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

Intel FPGA Download Cable II用户指南

Intel FPGA Download Cable II用户指南 UG-01150 2016.10.28 订阅 反馈 内容 内容 1 设置 Intel FPGA Download Cable II... 3 1.1 受支持的器件和系统...3 1.2 电源要求...3 1.3 软件要求和支持... 4 1.4 安装 Intel FPGA Download Cable II 进行配置和编程... 4 1.5 在 Windows 7/8 系统上安装 Intel FPGA

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

幻灯片 1

幻灯片 1 课 程 编 号 :MSG331 Office Communications Server 2007 不 Exchange Server 2007 协 同 应 用 案 例 演 示 UC 熟 知 系 列 主 要 内 容 沟 通 现 状 基 础 架 构 的 挑 戓 统 一 沟 通 模 式 统 一 通 讯 革 命 整 合 Exchange UM 不 OCS 的 好 处 OCS 不 Exchange 协 同

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12 ZT210/ZT220/ZT230 快 速 参 考 指 南 本 指 南 用 于 指 导 您 执 行 打 印 机 的 日 常 操 作 有 关 详 细 信 息, 请 参 见 用 户 指 南 打 印 机 组 件 图 1 显 示 了 打 印 机 介 质 舱 内 的 组 件 根 据 打 印 机 型 号 和 已 安 装 选 件 的 不 同, 打 印 机 的 外 观 会 略 有 差 别 带 有 标 签 的 组 件

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

* RRB *

* RRB * *9000000000RRB0010040* *9000000000RRB0020040* *9000000000RRB0030040* *9000000000RRB0040040* *9000000000RRC0010050* *9000000000RRC0020050* *9000000000RRC0030050* *9000000000RRC0040050* *9000000000RRC0050050*

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

Intel® Cyclone® 10 LP器件概述

Intel®  Cyclone® 10 LP器件概述 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 Cyclone 10 LP 器件概述...3 Cyclone 10 LP 特性汇总... 4 Cyclone 10 LP 可用选项... 5 Cyclone 10 LP 最大资源...6 Cyclone 10 LP 封装规划... 6 Cyclone 10 LP I/O 纵向移植...7 逻辑单元和逻辑阵列模块...7 嵌入式乘法器...8

More information

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275])

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275]) 股 票 代 號 :1216 TT 2015 全 年 度 業 績 發 佈 (2016.4.11 更 新 ) Disclaimers The information contained in this presentation is intended solely for your personal reference. Such information is subject to change without

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information

2

2 40 2 3 4 5 ^ ^ 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 PLEASE AFFIX STAMP HERE Diabetes Hongkong Unit 1802, 18/F., Tung Hip Commercial Bldg., 244-252 Des Voeux Rd C, HK. Diabetes Hongkong membership

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

Microsoft Word - A1译者的话.doc

Microsoft Word - A1译者的话.doc BC 省 司 机 道 路 意 识 手 册 根 据 ICBC 2000 年 版 ROADSENSE FOR DRIVERS 编 译 尤 其 适 合 于 用 国 语 ( 普 通 话 ) 考 试 的 人 士 原 文 译 者 : 彭 铁 兵 (pengtiebing@yahoo.com) 修 订 排 版 : 张 文 (wenzhangok@gmail.com) 修 订 日 期 :2006/2/14 译 者

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

CHARACTERISTICS OF THE GROWTH ENTERPRISE MARKET (THE GEM ) OF THE STOCK EXCHANGE OF HONG KONG LIMITED (THE STOCK EXCHANGE ) GEM has been positioned as a market designed to accommodate companies to which

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

WFC40810

WFC40810 9000086873 (PD 85 05 10) Operating and Installation Instructions Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

中 國 茶 詩 與 文 人 茶 道 生 活 顏 鸝 慧 人 社 科 院 / 人 文 藝 術 教 學 中 心 摘 要 飲 茶 的 起 源, 歷 來 眾 說 紛 紜, 根 據 文 獻 資 料 顯 示, 在 唐 代 之 前, 飲 茶 只 是 一 種 區 域 性 的 生 活 風 俗 然 西 漢 時 已 有

中 國 茶 詩 與 文 人 茶 道 生 活 顏 鸝 慧 人 社 科 院 / 人 文 藝 術 教 學 中 心 摘 要 飲 茶 的 起 源, 歷 來 眾 說 紛 紜, 根 據 文 獻 資 料 顯 示, 在 唐 代 之 前, 飲 茶 只 是 一 種 區 域 性 的 生 活 風 俗 然 西 漢 時 已 有 明 新 科 技 大 學 校 內 專 題 研 究 計 畫 成 果 報 告 中 國 茶 詩 與 文 人 茶 道 生 活 A Study of Chinese Tea Poetry and The Tea Rule of Poet 計 畫 類 別 : 整 合 型 計 畫 個 人 計 畫 計 畫 編 號 : MUST- 97- 人 藝 -02 執 行 期 間 : 97 年 03 月 01 日 至 97 年

More information

韓少功 革命後記 修訂版 飄風叢書③ 1

韓少功 革命後記 修訂版 飄風叢書③ 1 革命後記 修訂版 韓少功 革命後記 修訂版 飄風叢書③ 1 目 錄 3 Oxford University Press is a department of the University of Oxford. It furthers the University s objective of excellence in research, scholarship, and education by

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

WVT new

WVT new Operating and Installation Instructions 5120 004601 (PD 84 09 25) Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

逻辑阵列模块和自适应逻辑模块

逻辑阵列模块和自适应逻辑模块 1 SV51002 订阅 本章节介绍了 Stratix V 核心架构中逻辑阵列模块 (LAB) 的功能特性 LAB 是由称作自适应逻辑模块 () 的基本构造模块组成, 通过配置这些模块, 能够实现逻辑功能 算术功能以及寄存器功能 您可以将 Stratix V 器件中一半的 LAB 用作存储器 LAB (MLAB) Quartus II 软件和所支持的第三方综合工具, 与参数化功能 ( 例如 : 参数化模块库

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

运动员治疗用药豁免申报审批办法

运动员治疗用药豁免申报审批办法 运 动 员 治 疗 用 药 豁 免 管 理 办 法 第 一 条 为 了 保 护 运 动 员 的 身 心 健 康, 保 证 运 动 员 的 伤 病 得 到 及 时 安 全 的 治 疗, 保 障 运 动 员 公 平 参 与 体 育 运 动 的 权 利, 根 据 国 务 院 反 兴 奋 剂 条 例, 参 照 世 界 反 兴 奋 剂 条 例 和 治 疗 用 药 豁 免 国 际 标 准 的 有 关 条 款,

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

2015年4月11日雅思阅读预测机经(新东方版)

2015年4月11日雅思阅读预测机经(新东方版) 剑 桥 雅 思 10 第 一 时 间 解 析 阅 读 部 分 1 剑 桥 雅 思 10 整 体 内 容 统 计 2 剑 桥 雅 思 10 话 题 类 型 从 以 上 统 计 可 以 看 出, 雅 思 阅 读 的 考 试 话 题 一 直 广 泛 多 样 而 题 型 则 稳 中 有 变 以 剑 桥 10 的 test 4 为 例 出 现 的 三 篇 文 章 分 别 是 自 然 类, 心 理 研 究 类,

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

:5-6

:5-6 License Agreement for Bible Texts These Scriptures: May not be altered or modified in any form. They must remain in their original context. May not be sold or offered for sale in any form. May not be used

More information

SC-127.doc

SC-127.doc (accountability) e.g. AMSE CODE The Code contains mandatory requirements, specific prohibitions, and mandatory guidance for construction activities. The Code does not address all aspects of these activities

More information

untitled

untitled 1. 1.1 注意 注意 2. 2.1 2.4 Type U Model 97694877 f Imax Pmax W IP 65 l/h gph A Q P Made in France Bar psi N20683 NEMA 4X GWT, 76327 Ptal, Germany 2.5 3 1 2 2.6 100% 3.1 Ω Ω 3.2 110 A1 A 17.5 G 5/8" 100%

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

供 应 倍 增, 需 求 倍 增? 引 言 与 本 报 告 共 同 发 布 的 2020 年 办 公 楼 市 场 城 市 报 告 上 海 : 打 造 中 国 的 国 际 化 城 市 描 绘 了 一 幅 关 于 上 海 办 公 楼 市 场 未 来 发 展 的 宏 观 蓝 图 本 报 告 将 深 入 挖

供 应 倍 增, 需 求 倍 增? 引 言 与 本 报 告 共 同 发 布 的 2020 年 办 公 楼 市 场 城 市 报 告 上 海 : 打 造 中 国 的 国 际 化 城 市 描 绘 了 一 幅 关 于 上 海 办 公 楼 市 场 未 来 发 展 的 宏 观 蓝 图 本 报 告 将 深 入 挖 2020 年 上 海 办 公 楼 市 场 展 望 : 供 应 倍 增, 需 求 倍 增? 2020 年 办 公 楼 市 场 城 市 报 告 2014 年 3 月 供 应 倍 增, 需 求 倍 增? 引 言 与 本 报 告 共 同 发 布 的 2020 年 办 公 楼 市 场 城 市 报 告 上 海 : 打 造 中 国 的 国 际 化 城 市 描 绘 了 一 幅 关 于 上 海 办 公 楼 市 场 未

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

2004cm

2004cm 重要注意事項 視窗系統所在的硬碟分割區 ( 一般常使用 C:\ 硬碟分割區 ), 建議最少要有 20 GB 的可使用空間, 且該硬碟分割區內只限於安裝視窗系統及 DVR 主機程式 錄影紀錄的儲存位置, 應該避免使用視窗系統所在的硬碟分割區, 而是在其他的硬碟分割區內 這樣的配置方式能保持視窗系統及 DVR 主機程式的執行效能及長期穩定性 2400 1 2 2404S H1004S - - - 2416SG

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

<4D F736F F F696E74202D20A8E2A9A4AA41B0C8B77EB654A9F6B67DA9F1ABE1A141BB4FC657AAF7BFC4AAF7BFC4AA41B0C8B77EA4A7B0D3BEF7BB50AC44BED420A6BFACB C >

<4D F736F F F696E74202D20A8E2A9A4AA41B0C8B77EB654A9F6B67DA9F1ABE1A141BB4FC657AAF7BFC4AAF7BFC4AA41B0C8B77EA4A7B0D3BEF7BB50AC44BED420A6BFACB C > 兩 岸 服 務 業 貿 易 開 放 後, 臺 灣 金 融 服 務 業 之 商 機 與 挑 戰 Part I: 兩 岸 服 務 業 貿 易 開 放 Chung Hua Shen 沈 中 華 Department of Finance National Taiwan Univeristy Chung Hua Shen 1 Chung Hua Shen 2 台 資 銀 行 赴 中 國 大 陸 發 展 歷

More information

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临 Abeloff s Clinical Oncology 临 床 肿 瘤 学 ( 第 5 版 ) 原 著 者 John E. Niederhuber James O. Armitage James H. Doroshow Michael B. Kastan Joel E. Tepper 主 译 孙 燕 ( 下 卷 ) 图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /(

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF 啓 I II 説 III 1 2 3 4 5 6 7 8 9 10 没 11 説 12 渉 渉 説 13 14 説 説 絶 15 FPA for Transactions Application Documentation Transaction Model Data Model FPA Rules Transaction Rules Function Complexity Tables of Weight

More information

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc

Microsoft Word - (web)_F.1_Notes_&_Application_Form(Chi)(non-SPCCPS)_16-17.doc 聖 保 羅 男 女 中 學 學 年 中 一 入 學 申 請 申 請 須 知 申 請 程 序 : 請 將 下 列 文 件 交 回 本 校 ( 麥 當 勞 道 33 號 ( 請 以 A4 紙 張 雙 面 影 印, 並 用 魚 尾 夾 夾 起 : 填 妥 申 請 表 並 貼 上 近 照 小 學 五 年 級 上 下 學 期 成 績 表 影 印 本 課 外 活 動 表 現 及 服 務 的 證 明 文 件 及

More information