逻辑阵列模块和自适应逻辑模块

Size: px
Start display at page:

Download "逻辑阵列模块和自适应逻辑模块"

Transcription

1 1 SV51002 订阅 本章节介绍了 Stratix V 核心架构中逻辑阵列模块 (LAB) 的功能特性 LAB 是由称作自适应逻辑模块 () 的基本构造模块组成, 通过配置这些模块, 能够实现逻辑功能 算术功能以及寄存器功能 您可以将 Stratix V 器件中一半的 LAB 用作存储器 LAB (MLAB) Quartus II 软件和所支持的第三方综合工具, 与参数化功能 ( 例如 : 参数化模块库 (LPM)) 一起, 对常用功能 ( 例如 : 计数器 加法器 减法器和算术功能 ) 自动选择相应的模式 本章节涵盖以下两方面内容 : LAB 操作模式 相关链接 Stratix V Device Handbook: Known Issues 列出了对 Stratix V 器件手册章节规划的更新 LAB LAB 是由一组逻辑资源组成的可配置逻辑模块 每个 LAB 均包含专用逻辑, 驱动控制信号到它的 中 MLAB 是 LAB 的超集, 具有 LAB 的所有特性 2014 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Innovation Drive, San Jose, CA 95134

2 1-2 MLAB 图 1-1: Stratix V 器件中的 LAB 结构和互联概况 此图显示了基于 LAB 互联的 Stratix V LAB 和 MLAB 结构 SV51002 C4 C14 可变速度和长度的行互联 R24 R3/R6 s 来自相邻模块的直链互联 来自相邻模块的直链互联 到相邻模块的直链互联 到相邻模块的直链互联 Local Interconnect LAB MLAB 快速本地互联由列互联和 LAB 从两侧驱动, 由行互联从上面驱动 可变速度和长度的行互联 MLAB 每个 MLAB 最大可支持 640 比特的简单双端口 SRAM 您可以将 MLAB 中的每一个 配置成 64 1 或者 32 2 模块, 产生一个 或者 简单双端口 SRAM 模块的配置

3 SV51002 本地和直链互联 1-3 图 1-2: Stratix V 器件的 LAB 和 MLAB 结构 MLAB 可用作普通 LAB 或者配置成双端口 SRAM -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 LAB Control Block LAB Control Block MLAB 可用作普通 LAB 或者配置成双端口 SRAM -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 MLAB LAB 本地和直链互联 每个 LAB 能够通过快速本地和直链互联驱动 30 个 10 个 位于任意给定的 LAB 中,10 个 位于每个相邻的 LAB 中 本地互联通过使用相同 LAB 中的行列互联以及 输出来驱动相同 LAB 中的 相邻的 LAB,MLAB,M20K 模块, 或者左侧 / 右侧的数字信号处理 (DSP) 模块也能够通过直链连接来驱动 LAB 的本地互联 直链互联功能最大限度地降低了行列互联的使用, 从而提供了更高的性能和更大的灵活性

4 1-4 共享算术链和进位链互联 图 1-3: Stratix V 器件的 LAB 快速本地和直链互联 SV51002 Direct-Link Interconnect from the Left LAB, MLAB/M20K Memory Block, DSP Block, or IOE Output Direct-Link Interconnect from the Right LAB, MLAB/M20K Memory Block, DSP Block, or IOE Output s s Direct-Link Interconnect to Left Local Interconnect Direct-Link Interconnect to Right MLAB LAB 共享算术链和进位链互联 之间有两条专用的通路 进位链和共享算术链 Stratix V 器件的 LAB 中包括一个增强的互联结构, 对共享算术链和进位链进行布线以实现有效的算术功能 这些 -to- 连接会旁路本地互联 Quartus II Compiler 自动利用这些资源来提高利用率及性能 图 1-4: 共享算术链和进位链互联 Routing among s in the LAB 1 Local Interconnect Carry Chain and Shared Arithmetic Chain Routing to Adjacent

5 SV51002 LAB 控制信号 LAB 控制信号 1-5 每个 LAB 均包含专用逻辑, 驱动控制信号到它的 中, 并且包含两个独立的时钟源和三个时钟使能信号 LAB 控制模块使用两个时钟源和三个时钟使能信号最多可生成三个时钟 每个时钟和时钟使能信号都是相连的 置低时钟使能信号会关闭相应的全 LAB(LAB-wide) 时钟 LAB 行时钟 [5..0] 和 LAB 本地互联生成全 LAB 控制信号 MultiTrack 互联所固有的低偏移不但实现了数据的分布, 也实现了时钟与控制信号的分布 MultiTrack 互联包含不同长度和速度的连续的, 性能优化的布线, 用于跨设计和设计内时钟连接 清零和预置逻辑控制 全 LAB 信号控制寄存器清零信号的逻辑 直接支持异步清零功能 通过 QuartusII 中的 NOT-gate push-back logic 选项来实现寄存器预置 每个 LAB 支持两个清零 Stratix V 器件提供了一个对器件中所有寄存器进行复位的全器件复位管脚 (DEV_CLRn) 编译前, 在 Quartus II 中设置一个相应选项来控制此管脚 此全器件复位覆盖了所有其它信号 图 1-5: Stratix V 器件的全 LAB 控制信号 此图显示了 LAB 中的时钟源和时钟使能信号 Dedicated Row LAB Clocks 6 6 There are two unique clock signals per LAB. 6 labclk0 labclk1 labclk2 syncload labclr1 labclkena0 or asyncload or labpreset labclkena1 labclkena2 labclr0 synclr

6 1-6 资源 资源 每个 均包含多种基于 的资源, 可分成两个组合自适应逻辑 (A) 和四个寄存器 通过使用两个组合 A 的八个输入, 一个 能够实现两种功能的各种组合 这一自适应性使 完全向后兼容四输入 体系结构 一个 也能够通过六个输入和某些七输入功能来实现任意功能 一个 包含四个可编程寄存器 每个寄存器包含如下端口 : 数据 时钟 同步和异步清零 同步加载 全局信号, 通用 I/O(GPIO) 管脚或者任何内部逻辑都可以驱动 寄存器的时钟和清零控制信号 GPIO 管脚或内部逻辑驱动时钟使能信号 对于组合功能, 寄存器被旁路, 查找表 () 的输出直接驱动到 的输出 注意 : Quartus II 针对已优化的性能自动配置 图 1-6: Stratix V 器件的 高级结构图 SV51002 shared_arith_in Combinational/ Memory A0 carry_in labclk 6-Input adder0 reg0 reg1 To General or Local Routing 6-Input adder1 reg2 Combinational/ Memory A1 shared_arith_out carry_out reg3 输出 每个 中的通用布线输出驱动本地, 行和列布线资源 两个 输出能够驱动行 列或者直链布线连接, 并且其中的一个 输出也能够驱动本地互联资源, 加法器或者寄存器输出能够驱动 输出 或加法器能够驱动一个输出当寄存器驱动另一个输出

7 SV51002 操作模式 1-7 寄存器封装 (RegisterPacking) 通过将无关的寄存器和组合逻辑封装在一个 中来提高器件利用率 改善布局布线的另一种机制是支持寄存器输出驱动回相同 的 中, 使寄存器与其本身的扇出 封装在一起 也能够驱动寄存的 以及未寄存的 或者加法器输出 图 1-7: Stratix V 器件的 连接明细 shared_arith_in carry_in syncload aclr[1:0] clk[2:0] sclr 0 GND 3-Input + CLR D Q Row, Column Direct Link Routing 3 3-Input CLR D Q Row, Column Direct Link Routing Input + CLR D Q Row, Column Direct Link Routing 3-Input VCC CLR D Q Row, Column Direct Link Routing shared_arith_out carry_out 操作模式 Stratix V 在下面其中的一个模式中运行 : 标准模式 扩展 模式 算术模式 共享算术模式

8 1-8 标准模式 标准模式 在标准模式下, 可以在一个 Stratix V 中实现两个功能, 或者一个 6 输入功能 SV51002 来自 LAB 本地互联的多达八个数据输入是组合逻辑的输入 能够支持某些完全独立的功能组合, 以及具有共同输入的多种功能的组合 图 1-8: 标准模式中的 具有更少输入 ( 少于图中所示的 ) 的功能组合也是被支持的 例如, 具有以下数量输入的功能组合是被支持的 :4 和 3,3 和 3,3 和 2,5 和 2 5-Input combout1 5-Input combout1 5-Input 3-Input combout1 6-Input 5-Input 6-Input combout1 6-Input combout1 对于 2 个 5 输入的功能封装进一个 中的情况, 这些功能必须至少有两个通用输入, 分别是 和 4 输入的组合功能与 5 输入的组合功能要求一个通用输入 ( 或者 ) 在一个 中实现 2 个 6 输入的功能的情况下,4 个输入必须是共享的, 并且组合功能必须相同 在不常使用的器件中, 一个 中的功能可能会通过 Quartus II 在单独的 中实现, 从而实现可能的最佳性能 器件开始填充时,Quartus II 将自动使用 Stratix V 的全部潜能 Quartus II Compiler 自动搜索使用通用输入的功能或者完全独立的功能, 将这些功能布局在 中, 从而更加有效地利用器件资源 此外, 通过设置位置约束, 您也能够手动控制资源的使用

9 SV51002 扩展 模式 (Extended Mode) 1-9 您可以使用输入,,, 和 与 或者 与 来实现任意的六输入功能 如果使用 和, 那么输出会被驱动到 register0,register0 被旁路, 或者是驱动到 register0 到输出,register0 被旁路, 使用下图中顶部输出驱动器将数据驱动至互联 如果使用 和, 输出驱动到 register1 或者旁路 register1, 使用底部输出驱动器将数据驱动到互联 Quartus II Compiler 自动选择 的输入 标准模式的 支持功能寄存器封装 (register packing) 图 1-9: 标准模式中的输入功能 如果将 和 用作 6 输入功能的输入, 那么 和 可用于寄存器封装 仅在 6 输入功能未寄存时, 输入才可用于寄存器封装 6-Input D Q reg0 D Q To General or Local Routing These inputs are available for register packing. labclk reg1 扩展 模式 (Extended Mode) 在此模式中, 如果 7 输入功能是未寄存的, 那么未使用的第 8 个输入可用于寄存器封装 符合模板的功能 ( 如下图所示 ) 经常作为 VerilogHDL 或 VHDL 代码中的 if-else 语句出现在设计中 图 1-10: Stratix V 器件的扩展 模式中所支持的 7 输入功能模板 5-Input 5-Input D reg0 Q To General or Local Routing This input is available for register packing. 算术模式 算术模式中的 使用两组 4 输入 以及两个专用全加法器 专用加法器使 可用于执行预加器逻辑 ; 因此, 每一个加法器能够将两个 4 输入功能的输出相加 支持同时使用加法器的进位输出和组合逻辑输出 在此操作中, 加法器输出被忽略 对于使用此模式的功能, 使用加法器与组合逻辑输出将节省高达 50% 的资源

10 1-10 共享算术模式 此外, 算术模式也支持时钟使能 计数器使能 同步上下控制 加减控制 同步清零以及同步加载 LAB 本地互联数据输入会生成时钟使能 计数器使能 同步上 / 下和加 / 减控制信号 对于在 中的 4 个 之间共享的输入而言, 这些控制信号是很好的选择 同步清零和同步加载选项是影响 LAB 中所有寄存器的全 LAB 信号 您可以单独对每个寄存器禁用或使能这些信号 Quartus II 自动将未使用的寄存器布局到其它的 LAB 中 图 1-11: Stratix V 器件的算术模式 SV51002 carry_in adder0 reg0 adder1 reg1 To General or Local Routing reg2 carry_out reg3 进位链 (Carry Chain) 进位链提供了算术或者共享算术模式中专用加法器之间的快速进位功能 StratixV 器件中的 2-bit 进位选择功能使 中的进位链传播延迟减少了一半 进位链能够开始于 LAB 中的第一个 或者第五个 最终的 carry-out 信号会被传输到 中, 在此 中驱动到本地 行或列互联 当实现高扇进 (highfan-in) 算术功能时, 要避免器件中一小块区域内的布线拥塞, 连接到下一个 LAB 之前,LAB 能够支持仅使用 LAB 的上半部或者下半部的进位链 这样,LAB 中的 另半部可用于实现正常模式中较窄的扇进功能 使用第一个 LAB 中的上面五个 的进位链传输到列中下一个 LAB 中的 的上半部 使用第一个 LAB 中的下面五个 的进位链传输至列中下一个 LAB 中的 的下半部 您可以旁路 LAB 列的上半部和 MLAB 列的下半部 Quartus II Compiler 通过自动将 LAB 连接在一起来创建超过 20 个 长的进位链 ( 算术或者共享算术模式的 10 个 ) 要增强布局布线, 长进位链需要纵向运行, 从而实现到 TriMatrix 存储器和 DSP 模块的快速水平连接 进位链能够持续运行直到全列 共享算术模式 共享算术模式的 能够实现 中的 3 输入加法运算

11 SV51002 LAB 功耗管理方法 1-11 此模式通过四个 4 输入 配置 每个 将计算三个输入的和, 或者计算三个输入的进位 通过使用称作共享算术链的专用连接将进位计算的输出传送到下一个加法器 图 1-12: Stratix V 器件的共享算术模式 shared_arith_in carry_in labclk reg0 reg1 To General or Local Routing reg2 shared_arith_out reg3 carry_out 共享算术链 增强算术模式中共享算术链使 能够实现 3 输入加法器, 这样可以显著降低用于实现大型加法器树或者相关器功能的必要资源 共享算术链可以开始于 LAB 中的第一个或者第六个 与进位链类似, 相隔 LAB 列中的共享算术链的上半部和下半部能够被旁路 这一性能使得共享算术链通过 LAB 中的一半 进行级联, 而剩下的一半用于较窄扇进功能 在每个 LAB 中, 列的上半部是可旁路的 ; 而在 MLAB 中, 列的下半部是可旁路的 Quartus II Compiler 通过自动将 LAB 连接在一起来创建超过 20 个 长的共享算术链 ( 算术或者共享算术模式中的 10 个 ) 要增强布局布线, 需要长共享算术链纵向运行, 从而快速水平连接到 TriMatrix 存储器和 DSP 模块 共享算术链能持续运行直到全列 LAB 功耗管理方法 下面的技术用于管理 LAB 中的静态及动态功耗 : 为降低 AC 功耗, 在 加法器未被使用时,QuartusII 会强制所有的加法器输入保持在低电平 StratixVLAB 运行在高性能或者低功耗模式 QuartusII 根据设计的要求自动为 LAB 选择相应的模式, 从而优化速度与漏电之间的综合标准

12 1-12 文档修订历史 SV51002 由于时钟的高开关活动和长路径的特性, 时钟代表动态功耗的十分重要的部分 对 LAB 中的寄存器分配时钟信号的 LAB 时钟, 是产生整个时钟功耗的主要因素 每个 LAB 的时钟与时钟使能信号都是相连的 例如, 在特定 LAB 中的组合 A 或者寄存器使用 labclk1 信号, 同时也使用 labclkena1 信号 在不禁用整个时钟树的情况下, 要降低 LAB-wide 时钟功耗, 需要使用 LAB-wide 时钟使能信号来门控 LAB-wide 时钟 QuartusII 自动将寄存器级时钟使能信号提升至 LAB 级 LAB 中所有共享通用时钟与时钟使能信号的的寄存器都是由共享选通的时钟控制的 要利用这些时钟使能信号, 需要将 HDL 代码中时钟使能结构用于寄存的逻辑 相关链接 Power Optimization chapter, Quartus II Handbook 提供了关于实现 LAB 中静态及动态功耗的更多信息 文档修订历史 日期 2014 年 1 月 2013 年 5 月 2012 年 12 月 2012 年 6 月 2011 年 11 月 2011 年 5 月 2010 年 12 月 2010 年 7 月 版本 修订内容在下图中添加了旁路路径和寄存器输出的复用器 : Stratix V 器件中的 高级结构图 标准模式下的输入功能 Stratix V 器件的扩展 模式中支持的 7 输入功能的模板 Stratix V 器件中算术模式中的 Stratix V 器件中共享算术模式中的 对 Knowledge Base 中的已知文档问题添加了链接 更新了可用的 LAB 作为 MLAB 使用 删除了 输出部分的寄存器输出信息 将全部链接移到相关章节的相关信息部分的以便于参考 重组内容并更新模板 更新了图 1-5 图 1-6 和图 1-12 删除了寄存器链说明. 少量文本编辑 更新了图 1-1 图 1-4 和图 1-6 删除了 寄存器链 部分 根据 11.0 发布移动章节到卷 2 更新了图 1 6 少量文本编辑 对于 Quartus II 10.1, 没有对该章节的内容进行修改 首次发布

Stratix IV器件中的逻辑阵列模块和自适应模块, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IV器件中的逻辑阵列模块和自适应模块, Stratix IV Device Handbook, Volume 1, Ch 2 2? 2011? SIV51002-3.1 2. Stratix IV 器件中的逻辑阵列模块和自适应模块 SIV51002-3.1 本章节介绍了 Stratix IV 核心架构中的逻辑阵列模块 (LAB) 的特性 LAB 由自适应逻辑模块 (ALM) 构成, 通过配置这些 ALM 能够实现逻辑功能 算术功能和寄存器功能 LAB 和 ALM 是 Stratix IV 器件的基本构造模块, 用于配置逻辑

More information

Arria II器件中的逻辑阵列模块与自适应逻辑模块- Arria II器件手册,卷 1,第2章

Arria II器件中的逻辑阵列模块与自适应逻辑模块- Arria II器件手册,卷 1,第2章 12? 2010? AIIGX51002-2.0 2. Arria II 器件中的逻辑阵列模块与自适应逻辑模块 AIIGX51002-2.0 本章节介绍了 Arria II 核心架构中逻辑阵列模块 (LAB) 的功能特性 LAB 是由称作自适应逻辑模块 () 的基本构造模块组成, 通过配置这些模块, 能够实现逻辑功能 算术功能以及寄存器功能 本章节涵盖以下两方面内容 : 逻辑阵列模块 第 2 1 页

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资

IBM 全 球 企 业 咨 询 服 务 部 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 2 回 顾 篇 慎 选 巧 选 软 件 平 台 由 于 五 矿 集 团 下 属 的 很 多 公 司 是 最 近 几 年 才 加 盟 的 新 成 员 企 业, 这 些 公 司 所 应 用 的 人 力 资 IBM 全 球 企 业 咨 询 服 务 部 IBM 商 业 价 值 研 究 院 案 例 研 究 中 国 五 矿 筑 起 人 力 资 源 信 息 大 厦 中 国 五 矿 集 团 公 司 ( 以 下 简 称 五 矿 集 团 ) 人 力 资 源 系 统 就 像 一 座 虚 拟 的 人 力 资 源 大 厦, 它 帮 助 五 矿 集 团 创 建 了 一 套 人 力 资 源 的 信 息 标 准, 形 成 了 一

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

Intel® Cyclone® 10 GX内核架构和通用I/O手册

Intel®  Cyclone® 10 GX内核架构和通用I/O手册 Intel Cyclone 10 GX 内核架构和通用 I/O 手册 订阅 官网最新文档 :PDF HTML 内容 内容 1. Intel Cyclone 10 GX 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1. LAB... 7 1.1.1. MLAB... 8 1.1.2. 本地和直链 (Direct Link) 互联... 9 1.1.3. 共享算术链和进位链互联...10 1.1.4.

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

MAX 10嵌入式乘法器用户指南

MAX 10嵌入式乘法器用户指南 UG-M10DSP 2017.02.21 订阅 反馈 内容 内容 1 MAX 10 嵌入式乘法器模块概述... 3 2 MAX 10 嵌入式乘法器特性和体系结构...5 2.1 嵌入式乘法器体系结构... 5 2.1.1 输入寄存器... 5 2.1.2 乘法器级 (Multiplier Stage)...6 2.1.3 输出寄存器... 6 2.2 嵌入式乘法器操作模式... 6 2.2.1 18-Bit

More information

Intel® Arria® 10内核架构和通用I/O手册

Intel®  Arria® 10内核架构和通用I/O手册 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1 Arria 10 器件中的逻辑阵列模块与自适应逻辑模块... 7 1.1 LAB... 7 1.1.1 MLAB... 8 1.1.2 本地和直链 (Direct Link) 互联... 9 1.1.3 共享算术链和进位链互联...10 1.1.4 LAB 控制信号... 11 1.1.5 ALM 资源... 12 1.1.6 ALM 输出...

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Intel® Cyclone® 10 LP器件概述

Intel®  Cyclone® 10 LP器件概述 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 Cyclone 10 LP 器件概述...3 Cyclone 10 LP 特性汇总... 4 Cyclone 10 LP 可用选项... 5 Cyclone 10 LP 最大资源...6 Cyclone 10 LP 封装规划... 6 Cyclone 10 LP I/O 纵向移植...7 逻辑单元和逻辑阵列模块...7 嵌入式乘法器...8

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

Contents 1 深 圳 大 学 经 济 学 院 学 生 代 表 大 会 章 程 2 2 优 秀 毕 业 生 评 选 细 则 7 3 议 事 规 则 8 i

Contents 1 深 圳 大 学 经 济 学 院 学 生 代 表 大 会 章 程 2 2 优 秀 毕 业 生 评 选 细 则 7 3 议 事 规 则 8 i 深 圳 大 学 经 济 学 院 学 代 委 执 事 指 南 发 布 0.0.1 深 圳 大 学 经 济 学 院 学 代 委 2016 年 05 月 25 日 Contents 1 深 圳 大 学 经 济 学 院 学 生 代 表 大 会 章 程 2 2 优 秀 毕 业 生 评 选 细 则 7 3 议 事 规 则 8 i ii 深 圳 大 学 经 济 学 院 学 代 委 执 事 指 南, 发 布 0.0.1

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

Stratix V器件中的嵌入式存储器模块

Stratix V器件中的嵌入式存储器模块 2 SV51003 订阅 器件中的嵌入式存储器模块具有高度灵活性, 并能够根据您的设计要求提供最佳数量的小型或大型存储器阵列 相关链接 Stratix V Device Handbook: Known Issues 列出了对 Stratix V 器件手册章节的规划更新 嵌入式存储器类型 Stratix V 器件包含两种类型的存储器模块 : 20 Kb M20K 模块 专用存储器资源的模块 M20K

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12

2 控 制 面 板 控 制 面 板 控 制 面 板 显 示 打 印 机 的 工 作 状 态, 并 控 制 打 印 机 的 基 本 操 作 图 2 ZT230 控 制 面 板 1 2 3 4 5 图 3 ZT220 控 制 面 板 1 2 3 4 5 6 7 8 9 10 14 15 16 11 12 ZT210/ZT220/ZT230 快 速 参 考 指 南 本 指 南 用 于 指 导 您 执 行 打 印 机 的 日 常 操 作 有 关 详 细 信 息, 请 参 见 用 户 指 南 打 印 机 组 件 图 1 显 示 了 打 印 机 介 质 舱 内 的 组 件 根 据 打 印 机 型 号 和 已 安 装 选 件 的 不 同, 打 印 机 的 外 观 会 略 有 差 别 带 有 标 签 的 组 件

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Altera SoC嵌入式设计套件用户指南

Altera SoC嵌入式设计套件用户指南 Altera SoC 嵌 入 式 设 计 套 件 用 户 指 南 订 阅 ug-1137 101 Innovation Drive San Jose, CA 95134 www.altera.com 内 容 SoC 嵌 入 式 设 计 套 件 简 介...1-1 概 述...1-1 Linux 器 件 树 二 进 制...1-2 硬 件 和 软 件 开 发 角 色...1-3 硬 件 工 程 师...

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft Word - A1译者的话.doc

Microsoft Word - A1译者的话.doc BC 省 司 机 道 路 意 识 手 册 根 据 ICBC 2000 年 版 ROADSENSE FOR DRIVERS 编 译 尤 其 适 合 于 用 国 语 ( 普 通 话 ) 考 试 的 人 士 原 文 译 者 : 彭 铁 兵 (pengtiebing@yahoo.com) 修 订 排 版 : 张 文 (wenzhangok@gmail.com) 修 订 日 期 :2006/2/14 译 者

More information

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone,

KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, KDC-U5049 KDC-U4049 Made for ipod, and Made for iphone mean that an electronic accessory has been designed to connect specifically to ipod, or iphone, respectively, and has been certified by the developer

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message

国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message 中 国 新 闻 周 刊 2012 年 刊 例 国 际 视 野 中 国 立 场 原 创 诉 求 专 业 精 神 读 者 寄 语 Readers of the Message 中 国 新 闻 周 刊 China Newsweek 中 国 新 闻 社 China News Service 创 刊 于 1999 年 9 月,2000 年 1 月 1 日 正 式 China Newsweek, was first

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

幻灯片 1

幻灯片 1 课 程 编 号 :MSG331 Office Communications Server 2007 不 Exchange Server 2007 协 同 应 用 案 例 演 示 UC 熟 知 系 列 主 要 内 容 沟 通 现 状 基 础 架 构 的 挑 戓 统 一 沟 通 模 式 统 一 通 讯 革 命 整 合 Exchange UM 不 OCS 的 好 处 OCS 不 Exchange 协 同

More information

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年 Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断 第 1 节 投 资 银 行 业 务 概 述 1. 投 资 银 行 的 含 义 [ 熟 悉 ]: 等 第 1 章 证 劵 经 营 机 构 的 投 资 银 行 业 务 (1) 狭 义 的 就 是 指 某 些 资 本 市 场 活 动, 着 重 指 一 级 市 场 上 的 承 销 并 购 和 融 资 活 动 的 财 务 顾 问 (2) 广 义 的 包 括 公 司 融 资 并 购 顾 问 股 票 和 债 券

More information

Intel® Stratix® Avalon® -MM硬IP PCIe* 设计实例用户指南

Intel®  Stratix® Avalon®  -MM硬IP   PCIe*  设计实例用户指南 Intel Stratix 10 Avalon -MM 硬 IP PCIe* 设计实例用户指南 针对 Intel Quartus Prime 设计套件的更新 :17.1 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 1. 快速入门指南... 3 1.1. 设计组件...3 1.2. 目录结构...4 1.3. 生成设计实例... 5 1.4. 仿真设计实例... 6 1.5. 编译设计实例并为器件编程...8

More information

2

2 2 3 4 5 6 1 1 1 1 3 1 2 7 13... 2... 4 1... 6... 8... 20... 22... 26 Chapter 01 contents 14 contents... 29... 33... 37 Column... 40... 42... 44... 47 Chapter 02 15... 54... 59... 66 S... 68... 72... 74...

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

Intel FPGA Download Cable II用户指南

Intel FPGA Download Cable II用户指南 UG-01150 2016.10.28 订阅 反馈 内容 内容 1 设置 Intel FPGA Download Cable II... 3 1.1 受支持的器件和系统...3 1.2 电源要求...3 1.3 软件要求和支持... 4 1.4 安装 Intel FPGA Download Cable II 进行配置和编程... 4 1.5 在 Windows 7/8 系统上安装 Intel FPGA

More information

封面.PDF

封面.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

優質居所 攜手共建

優質居所 攜手共建 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority.

More information

中 國 語 文 卷 一 : 閱 讀 能 力 考 核 考 試 範 圍 : 新 高 中 中 國 語 文 新 編 第 三 冊 單 元 九 十 十 一 ; 第 四 冊 單 元 十 二 小 說 與 文 化 ( 選 修 單 元 ): 英 雄 與 俠 義 考 試 模 式 : ( 全 答 )( 佔 全 科 30%)

中 國 語 文 卷 一 : 閱 讀 能 力 考 核 考 試 範 圍 : 新 高 中 中 國 語 文 新 編 第 三 冊 單 元 九 十 十 一 ; 第 四 冊 單 元 十 二 小 說 與 文 化 ( 選 修 單 元 ): 英 雄 與 俠 義 考 試 模 式 : ( 全 答 )( 佔 全 科 30%) 附 件 2 2015-2016 年 度 下 學 期 考 試 中 五 級 考 試 範 圍 及 溫 習 資 料 目 錄 考 試 科 目 考 試 時 限 頁 數 中 國 語 文 卷 一 ( 閱 讀 ):1 小 時 15 分 鐘 2-3 卷 二 ( 作 文 ):1 小 時 30 分 鐘 卷 三 ( 聆 聽 及 綜 合 能 力 ):1 小 時 40 分 鐘 卷 四 ( 說 話 ) English Language

More information

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应 兰迪奥尔康对 维护生命 反对堕胎 立场的简洁而全面的介绍对无处不在 敞开心扉 却往往张口结舌 的维护生命运动倡导者来说 是一个非常 宝贵的资源 弗雷德 马太 格林 专栏作家 评论员 以及 真正的选择 倾听女性 寻找人工流产的替代方式 的作者 这本引人注目的书集粉碎了老一套的模式 这将有助于医治美国最紧迫的 内部冲突造成的创伤 但以理 拉品 走向传统 犹太人和基督徒的国家联盟 主席 作者和电台脱口秀主持人

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 有 的 遺 傳 病, 醫 生 對 於 它 的 認 識 也 不 太 深, 何 況 我 這 個 平 凡

More information

WFC40810

WFC40810 9000086873 (PD 85 05 10) Operating and Installation Instructions Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

目 录 安 全 注 意 事 项 ,3 设 计 注 意 事 项

目 录 安 全 注 意 事 项 ,3 设 计 注 意 事 项 文 件 No. 使 用 说 明 书 冷 却 阀 产 品 名 称 SGC/SGH 系 列 型 式 / 系 列 / 型 号 目 录 安 全 注 意 事 项 ------------------------------------------------------------- 2,3 设 计 注 意 事 项 -------------------------------------------------------------

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

B

B 90/5/22 (90) 04594 91 12 31 P * 1-12 1 13 01 01 1 2 18-21 22-24 1 2 1 2 / 31-32 37-38 I4 I2I3I4 I2I3I4 . () () ( )... 00 90 010203040506 070809 101112 13141516 17 99 ( ) ( ) ( ) 91. 92. 1 1 2 3 4 ( ) 1

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information

Gowin可配置功能单元(CFU)

Gowin可配置功能单元(CFU) Gowin 可配置功能单元 (CFU) 用户指南 UG288-1.08,2016-10-27 版权所有 2016 广东高云半导体科技股份有限公司 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外,

More information

MWO Catalogue_2018

MWO Catalogue_2018 MWO1804 (CF25) Whirlpool, U.S.A. Whirlpool is a registered trademark of Whirlpool, U.S.A. All Rights Reserved. Whirlpool Experience Centre 100 36 03-04 Unit 03-04, 36/F, AIA Tower, 100 How Ming Street,

More information

chap-1_NEW.PDF

chap-1_NEW.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

最新执法工作手册(二百六十七)

最新执法工作手册(二百六十七) ................................. I .................... II ( ) 1997 1 14 3 ( ) () () () () () () 3 3 ( ) () () () () 7 30 1 3 1000 5000 () () () 1 5 1000 5000 () () () ()30 () ()

More information

WVT new

WVT new Operating and Installation Instructions 5120 004601 (PD 84 09 25) Please read this specification carefully before you use the product. Any failure and losses caused by ignoring the above mentioned items

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区,

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区, UTAR NEW VILLAGE COMMUNITY PROJECT REPORT NAME OF NEW VILLAGE: KAMPUNG SURIA MENTAKAB PAHANG Project carried out by: Student Name Student ID Course Year / Semester 1. Tiang Min Yao 10ABB06950 Accounting

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

untitled

untitled NEW Register your product on line J 80 Ultra J 100 Ultra www.robot-coupe.com Register your product on line Head Office, French, Export and Marketing Department: 48, rue des Vignerons 94305 Vincennes Cedex-

More information

untitled

untitled Georg Simon Member of the BPM Board of IDS Scheer AG & ARIS ARIS SAP 140 654,000 120 200 6,000 3000 600,000 146 ERP 2 BMW Financial Services < ARIS > IT ARIS ARIS, DSC (Dealer Service Center) 67 58, DSC

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

Applied Biosystems StepOne™ Real-Time PCR System User Bulletin: StepOne™ System Updates (PN A / SN 117UB20-01)

Applied Biosystems StepOne™ Real-Time PCR System User Bulletin: StepOne™ System Updates (PN A / SN 117UB20-01) Applied Biosystems StepOne Real-Time PCR System ЪßÕ ³Ê Applied Biosystems StepOne Real-Time PCR System StepOne 2007 3............................................................... 2...............................................................

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

ELECTRIC DRIVE FOR LOCOMOTIVES The Research and Development of Modern Railway Traction and Control Technology (CSR Zhuzhou Institute Co., Ltd., Zhuzhou, Hunan 412001, China) Abstract: The technology of

More information

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63> 创 业 板 风 险 提 示 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险

More information