深圳大学光电工程学院 学年度 数字电路实验安排 实验一 (6 学时 ) 基本数字电路 ( 逻辑门, 三态门, 触发器 ) 实验二 (3 学时 ) 实验三 (3 学时 ) 实验四 (6 学时 ) 简单时序电路 计数器 555 时基电路及其应用 选做实验 : 实验 5-13 完成上述

Size: px
Start display at page:

Download "深圳大学光电工程学院 学年度 数字电路实验安排 实验一 (6 学时 ) 基本数字电路 ( 逻辑门, 三态门, 触发器 ) 实验二 (3 学时 ) 实验三 (3 学时 ) 实验四 (6 学时 ) 简单时序电路 计数器 555 时基电路及其应用 选做实验 : 实验 5-13 完成上述"

Transcription

1 数字电路 实验指导书 深圳大学光电工程学院

2 深圳大学光电工程学院 学年度 数字电路实验安排 实验一 (6 学时 ) 基本数字电路 ( 逻辑门, 三态门, 触发器 ) 实验二 (3 学时 ) 实验三 (3 学时 ) 实验四 (6 学时 ) 简单时序电路 计数器 555 时基电路及其应用 选做实验 : 实验 5-13 完成上述实验同学在最后一次实验课可选做一实验 ( 可不做 ), 但需提前告知指 导教师, 且不计入成绩. 请提前预习并打印好实验报告, 实验数据测完需老师签字 0-1

3 目录 1 实验要求及注意事项 数字电路实验基本知识 基本实验...4 实验一基本数字电路 实验二简单时序电路 实验三计数器 实验四 555 时基电路及其应用 选作部分...X-1 实验五 TTL HC 和 HCT 器件的参数测试... X-1 实验六数据选择器和译码器... X-4 实验七全加器构成及测试... X-6 实验八组合逻辑中的冒险现象... X-8 实验九四相时钟分配器... X-10 实验十 A/D 转换器实验...X-12 实验十一 D/A 转换器实验... X-15 4 综合性实验...X-18 实验十二多路智力竞赛抢答器设计... X-18 实验十三数字钟电路设计... X-20 5 附录常用实验器件引线图...F-1 1 四 2 输入正与非门 74LS00... F-1 2 六反相器 74LS04... F-1 3 四 2 输入或非门 74LS28... F 与或非门 74LS54... F-2 5 双 JK 触发器 ( 带清零端 )74LS73...F-2 6 双 D 触发器 ( 带预置和清零端 )74LS74... F-3 7 四 2 输入异或门 74LS86... F-3 8 三态输出的四总线缓冲门 74LS F-3 9 2:4 线译码器 / 分配器 74LS F-4 10 双 4-1 线数据选择器 / 多路开关 74LS153...F-4 11 同步十进制计数器 74LS F 译码器 / 驱动器 74LS48...F 线优先编码器 74LS148...F-6 14 十进制计数器 74LS90... F-6 15 RS 触发器 74LS F-6 0-2

4 1 实验要求及注意事项 1 实验前必充分预习实验内容, 做到思路清晰, 实验任务明确 2 实验时认真阅读实验指导书, 按电路原理图正确连接实验导线, 仔细检查无误, 方可通电实验 3 实验中多注意观察, 如有元器件冒烟 发烫或有异味等应立即关断电源, 报告实验老师, 待找出原因 排除故障后才能重新实验 4 实验中须更改连线, 必须切断电源后才能进行 5 实验中应仔细观察实验现象, 认真记录实验结果 数据 波形 分析正确与否 6 实验结束关闭电源, 拔除电源插头, 并将仪器设备 工具 导线等按规定整理好 1

5 2 数字电路实验基本知识 一 数字集成电路封装中 小规模数字 IC 中最常用的是 TTL 电路和 CMOS 电路 TTL 器件型号以 74( 或 54) 作前缀, 称为 74/54 系列, 如 74LS10 74LS181 54S86 等 中 小规模 CMOS 数字集成电路主要是 4XXX/45XX(X 代表 0 9 的数字 ) 系列, 高速 CMOS 电路 HC(74HC 系列 ), 与 TTL 兼容的高速 CMOS 电路 HCT(74HCT 系列 ) TTL 电路与 CMOS 电路各有优缺点, TTL 速度高,CMOS 电路功耗小 电源范围大 抗干扰能力强 由于 TTL 在世界范围内应用很广, 所以在数字电路教学实验中, 我们主要使用 TTL74 系列电路作为实验用器件, 采用单一 +5V 作为供电电源 数字 IC 器件有多种封装形式 为了教学实验方便, 实验中所用的 74 系列器件封装选用双列直插式 图 1 是双列直插封装的正面示意图 双列直插封装有以下特点 : 图 1 DIP 双列直插式封装图图 2 PLCC 封装图 1 从正面( 上面 ) 看, 器件一端有一个半圆的缺口, 这是正方向的标志 缺口左边的引脚号为 1, 引脚号按逆时针方向增加 图 1 中的数字表示引脚号 双列直插封装 IC 引脚数有 等若干种 2 双列直插器件有两列引脚 引脚之间的间距是 2.54 毫米 两列引脚之间的距离有宽 (15.24 毫米 ) 窄 (7.62 毫米 ) 两种 两列引脚之间的距离能够少做改变, 引脚间距不能改变 将器件插入实验板上的插座中去, 从插座中拔出时要小心, 不要将器件引脚搞弯或折断 3 74 系列器件一般左下角的最后一个引脚是 GND, 右上角的引脚是 VCC 例如,14 引脚器件引脚 7 是 GND, 引脚 14 是 VCC ;20 引脚器件引脚 10 是 GND, 引脚 20 是 VCC 但也有一些例外, 例如 16 引脚的双 JK 触发器 74LS76, 引脚 13( 不是引脚 8) 是 GND, 引脚 5( 不是引脚 16) 是 VCC 所以使用集成电路器件时要先看清它的引脚图, 找对电源和地, 避免因接线错误造成器件损坏 数字电路综合实验中, 使用的复杂可编程逻辑器件 EPM7032 是 44 引脚的 PLCC(Plastic Leaded Chip Carrier) 封装, 图 2 是封装正面图 器件上的小圆圈指示引脚 1, 引脚号按逆时针方向增加, 引脚 2 在引脚 1 的左边, 引脚 44 在引脚 1 的右边 EPM7032 有多个电源引脚号 地引脚号, 器件的缺角要对准插座的缺角 PLCC 封装器件管脚较多拔出时应更加小心, 2

6 可以使用专门的起拔器, 也可以使用镊子从对角缝隙轻轻拔出 实验设备上的接线端上的接线采用自锁紧插头 插孔 ( 插座 ) 使用自锁紧插头 插孔接线时, 首先把插头插进插孔中, 然后将插头按顺时针方向轻轻一拧则锁紧 拔出插头时, 首先按逆时针方向轻轻拧一下插头, 使插头和插孔之间松开, 然后将插头从插孔中拔出 不要使劲拔插头, 以免损坏插头和连线 必须注意, 不能带电插 拔器件 插 拔器件只能在关断电源的情况下进行 三 数字电路测试及故障查找 排除设计好一个数字电路后, 要对其进行测试, 以验证设计是否正确 测试过程中, 发现问题要分析原因, 找出故障所在, 并解决它 数字电路实验也遵循这些原则 1 数字电路测试数字电路测试大体上分为静态测试和动态测试两部分 静态测试指的是, 给定数字电路若干组静态输入值, 测试数字电路的输出值是否正确 数字电路设计好后, 在实验台上连接成一个完整的线路 把线路的输入接逻辑开关输出, 线路的输出接逻辑状态指示灯, 按功能表或状态表的要求, 改变输入状态, 观察输入和输出之间的关系是否符合设计要求 静态测试是检查设计是否正确, 接线是否无误的重要一步 在静态测试基础上, 按设计要求在输入端加动态脉冲信号, 观察输出端波形是否符合设计要求, 这是动态测试 有些数字电路只需进行静态测试即可, 有些数字电路则必须进行动态测试 一般地说, 时序电路应进行动态测试 2 数字电路的故障查找和排除在数字电路实验中, 出现问题是难免的 重要的是分析问题, 找出出现问题的原因, 从而解决它 一般地说, 有四个方面的原因产生问题 ( 故障 ): 器件故障 接线错误 设计错误和测试方法不正确 在查找故障过程中, 首先要熟悉经常发生的典型故障 (1) 器件故障器件故障是器件失效或器件接插问题引起的故障, 表现为器件工作不正常 不言而喻, 器件失效肯定会引起工作不正常, 这需要更换一个好器件 器件接插问题, 如管脚折断或者器件的某个 ( 或某些 ) 引脚没插到插座中等, 也会使器件工作不正常 对于器件接插错误有时不易发现, 需仔细检查 判断器件失效的方法是用集成电路测试仪测试器件 需要指出的是, 一般的集成电路测试仪只能检测器件的某些静态特性 对负载能力等静态特性和上升沿 下降沿 延迟时间等动态特性, 一般的集成电路测试仪不能测试 测试器件的这些参数, 须使用专门的集成电路测试仪 (2) 接线错误接线错误是最常见的错误 据有人统计, 在教学实验中, 大约百分之七十以上的故障是由接线错误引起的 常见的接线错误包括忘记接器件的电源和地 ; 连线与插孔接触不良 ; 连线经多次使用后, 有可能外面塑料包皮完好, 但内部线断 ; 连线多接 漏接 错接 ; 连线过长 过乱造成干扰 接线错误造成的现象多种多样, 例如器件的某个功能块不工作或工作不正常, 器件不工作或发热, 电路中一部分工作状态不稳定等 解决方法大致包括 : 熟悉所用器件的功能及其引脚号, 知道器件每个引脚的功能 ; 器件的电源和地一定要接对 接好 ; 检查连线和插孔接触是否良好 ; 检查连线有无错接 多接 漏接 ; 检查连线中有无断线 最重要的是接线前要画出接线图, 按图接线, 不要凭记忆随想随接 ; 接线要规范 整齐, 尽量走直线 短线, 以免引起干扰 (3) 设计错误设计错误自然会造成与预想的结果不一致 原因是对实验要求没有吃透, 或者是对所用器件的原理没有掌握 因此实验前一定要理解实验要求, 掌握实验线路原理, 精心设计 初始设计完成后一般应对设计进行优化 最后画好逻辑图及接线图 3

7 (4) 测试方法不正确如果不发生前面所述三种错误, 实验一般会成功 但有时测试方法不正确也会引起观测错误 例如, 一个稳定的波形, 如果用示波器观测, 而示波器没有同步, 则造成波形不稳的假象 因此要学会正确使用所用仪器 仪表 在数字电路实验中, 尤其要学会正确使用示波器 在对数字电路测试过程中, 由于测试仪器 仪表加到被测电路上后, 对被测电路相当于一个负载, 因此测试过程中也有可能引起电路本身工作状态的改变, 这点应引起足够注意 不过, 在数字电路实验中, 这种现象很少发生 当实验中发现结果与预期不一致时, 千万不要慌乱 应仔细观测现象, 冷静思考问题所在 首先检查仪器 仪表的使用是否正确 在正确使用仪器 仪表的前提下, 按逻辑图和接线图逐级查找问题出现在何处 通常从发现问题的地方, 一级一级向前测试, 直到找出故障的初始发生位置 在故障的初始位置处, 首先检查连线是否正确 前面已说过, 实验故障绝大部分是由接线错误引起的, 因此检查一定要认真 仔细 确认接线无误后, 检查器件引脚是否全部正确插进插座中, 有无引脚折断 弯曲 错插问题以及实验板器件插接端与引出端是否有断路或旁接现象 确认无上述问题后, 取下器件测试, 以检查器件好坏, 或者直接换一个好器件 如果器件和接线都正确, 则需考虑设计问题 3 基本实验 4

8 深圳大学实验报告 课程名称 : 数字电子技术 实验项目名称 : 基本数字电路 ( 实验一 ) 学院 : 光电工程学院 专业 : 授课教师 : 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制 1-1

9 实验一 基本数字电路 一 实验目的 1.1 掌握 TTL 与非门 与或门和异或门输入与输出之间的逻辑关系 1.2 熟悉 TTL 中 小规模集成电路的外型 管脚和使用方法 2.1 掌握三态门逻辑功能和使用方法 2.2 掌握三态门构成总线的特点和方法 2.3 初步学会用示波器测量简单的数字波形 3.1 掌握 RS 触发器 D 触发器 JK 触发器的工作原理 3.2 学会正确使用 RS 触发器 D 触发器 JK 触发器 二 实验所用器件和仪表 1.1 二输入四与非门 74LS00 1 片 1.2 二输入四或非门 74LS28 1 片 1.3 二输入四异或门 74LS86 1 片 2.1 二输入四与非门 74LS00 1 片 2.2 三态输出的四总线缓冲门 74LS125 1 片 2.3 万用表 2.4 示波器 3.1 四 2 输入与非门 74LS00 1 片 3.2 双 D 触发器 74LS74 1 片 3.3 双 JK 触发器 74LS73 1 片 三 实验内容 1.1 测试二输入四与非门 74LS00 一个与非门的输入和输出之间的逻辑关系 1.2 测试二输入四或非门 74LS28 一个或非门的输入和输出之间的逻辑关系 1.3 测试二输入四异或门 74LS86 一个异或门的输入和输出之间的逻辑关系 LS125 三态门的输出负载为 74LS00 的一个与非门输入端 74LS00 同一个与非门的另一个输入端接低电平, 测试 74LS125 三态门三态输出 高电平输出 低电平输出的电压值 同时测试 74LS125 三态输出时 74LS00 输出值 LS125 三态输出负载为 74LS00 的一个与非门输入端 74LS00 同一个与非门的另一个输入端接高电平, 测试 74LS125 三态门三态输出 高电平输出 低电平输出的电压值 同时测试 74LS125 三态输出时 74LS00 输出值 2.3 用 74LS125 两个三态门输出构成一条总线 使两个控制端一个为低电平, 另一个为高电平 一个三态门的输入接 100kHZ 信号, 另一个三态门的输入接 10kHZ 信号 用示波器观察三态门的输出 3.1 用 74LS00 构成一个 RS 触发器,R S 端接逻辑开关输出,Q Q 端接逻辑状态指示灯, 改变 R S 的电平, 观察现象并记录 Q Q 的值 3.2 双 D 触发器 74LS74 中一个触发器功能测试 (1) 将 CLR( 复位 ) RP( 置位 ) 引脚接实验板上逻辑开关输出,Q Q 引脚接逻辑状态显示灯, 改变 CLR RP 的电平, 观察现象并记录 Q Q 的值 (2) 在步骤 (1) 的基础上, 置 CLR RP 引脚为高电平,D( 数据 ) 引脚接逻辑开关输出, CK( 时钟 ) 引脚接单次脉冲 在 D 为高电平和低电平的情况, 分别按单次脉冲按钮, 观察现象并记录 Q Q 的值 1-1

10 (3) 在步骤 (1) 的基础上, 将 D 引脚接 1kHz 脉冲源,CK 引脚端接 10kHz 脉冲源, 用示波器同时观察 D 端和 CK 端的波形, 并记录 ; 同时观察 D 端 Q 端的波形并记录分析原因 3.3 制定对双 JK 触发器 74LS73 中一个 JK 触发器的测试方案, 并进行测试 四 实验提示 1.1 将被测器件插入实验箱上的 14 脚插座中 1.2 将器件的引脚 7 与实验箱的 地 (GND) 连接, 将器件的引脚 14 与实验箱的 +5V 连接 1.3 用实验箱的逻辑开关输出作为被测器件的输入 按入或弹出逻辑开关, 则改变器件的输入电平 1.4 将被测器件的输出引脚与实验箱上的逻辑状态显示灯连接 指示灯亮红色表示输出电平为 1, 指示灯亮绿色表示输出电平为 三态门 74LS125 的控制端 EN 为低电平有效 2.2 用实验板上的逻辑开关输出作为被测器件的输入 按入或弹出开关, 则改变器件的输入电平 LS73 引脚 11 是 GND, 引脚 4 是 Vcc 五 实验接线图 步骤及实验结果 74LS00 中包含 4 个二与非门,74LS28 中包含 4 个二或非门,74LS86 中包含 4 个二异 或门, 下面各画出测试第一个逻辑门逻辑关系的接线图及测试结果 测试其它逻辑门时的接 线图与之类似 测试时各器件的引脚 7 接地, 引脚 14 接 +5V 图中的 K1 K2 是逻辑开关 输出,LED0 是逻辑状态显示灯 1.1 测试 74LS00 逻辑关系接线图及测试结果 输 入 输 出 引脚 1 引脚 2 引脚 3 L L L H H L H H 图 1.1 测试 74LS00 逻辑关系接线图表 LS00 真值表 1.2 测试 74LS28 逻辑关系接线图及测试结果 输 入 输 出 引脚 2 引脚 3 引脚 1 L L L H H L H H 图 1.2 测试 74LS28 逻辑关系接线图 表 LS28 真值表 1-2

11 1.3 测试 74LS86 逻辑关系接线图及测试结果 输 入 输 出 引脚 1 引脚 2 引脚 3 L L L H H L H H 图 1.3 测试 74LS86 逻辑关系接线图表 LS86 真值表 2.1 实验内容 1 和内容 2 接线图 图 1.4 实验内容 1 和内容 2 接线图图中 K1 K2 和 K3 是逻辑开关输出, 电压表指示电压测量点 按入或弹出逻辑开关 K3 K2 K1, 则改变 74LS00 一个与非门输入端 74LS125 三态门控制端 三态门输入端的电平 1 当 74LS00 引脚 2 为低电平时, 测试 74LS125 引脚 3 和 74LS00 引脚 3, 结果如下 : 2.2 当 74LS00 引脚 2 为高电平时, 测试 74LS125 引脚 3 和 74LS00 引脚 3, 结果如下 : 1-3

12 用三态门构成总线接线图 K1 CP1 2 3 UA 7 4LS1 25 K2 OUT CP2 5 6 图 1.5 UB 三态门构成总线 结果 : 3.1 RS 触发器的接线图 测试步骤 测试结果 图 1.6 是 RS 触发器实验接线图, 图中 K1 K2 是逻辑开关输出,LED0 LED1 是逻辑状态指示灯 当 R 和 S 为如下取值时, 触发器的结果 (Q 和 Q ) 分别为 : (1) R=0, S=1, (2) R=1, S=1, (3) R=1, S=0, (4) R=1, S=1, (5) R=0, S=0, 1-4

13 10k R 1D C1 S 5 6 LED1 LED2 R 输入输出 S 0 0 图 1.6 RS 触 0 1 发器测试接线图 1 0 时序电路的值 1 1 与测试顺序有关, 应引起注意 根据 测试结果, 得出 RS 触发器的真值表如下 : 表 1.4 RS 触发器真值表 Q Q 根据触发器的定义, Q 和 Q 应互补, 因此 R=0, S=0 是非法状态 3.2 D 触发器接线图 测试步骤 测试结果 UA 74LS74 K2 K1 图 LS74 测试图 1 图 LS74 测试图 2 图 1.7 和图 1.8 是测试 D 触发器的接线图,K1 K2 K3 是逻辑开关输出,LED1 LED2 是逻辑状态指示灯,AK1 是单脉冲按钮,1kHz 10kHz 是时钟脉冲源, 测 Q 与 Q, 测试步骤及结果如下 : (1)CLR=0,PR=1, 测得 (2)CLR=1,PR=1, 测得 1-5

14 (3)CLR=1,PR=0, 测得 (4)CLR=1,PR=1, 测得 (5)CLR=0,PR=0, 测得 (6)CLR=1,PR=1,D=1,CK 接单脉冲, 按单脉冲按钮, 测得 (7)CLR=1,PR=1,D=0,CK 接单脉冲, 按单脉冲按钮, 测得 (8)CLR=1,PR=1,D 接 Q,CK 接 10kHz, 测得 Q 端波形如下 : (9) 在示波器上同时观测 Q CK 的波形, 观测到 Q 的波形只在 CK 的才发生变化 (10) 根据上述测试, 得出 D 触发器的功能表如下 : 输入输出 RP CLR CLK D Q L H X X H L X X L L X X H H H H H L H H L X 表 1.5 D 触发器 74LS74 真值表 3.3 双 JK 触发器 74LS73 中一个触发器的功能测试方案 (1)74LS73 功能测试接线图如下 : K1 K2 K3 是逻辑开关输出,LED0 LED1 是逻辑状态指示灯,AK1 是按单脉冲按钮, 100kHz 是时钟脉冲源 74LS73 引脚 4 接 +5V 引脚 11 接地, 测 Q 与 Q (2)CLR=0, 测得 (3)CLR=1,J=0,K=0, 按单脉冲按钮 AK1, 测得 (4)CLR=1,J=1,K=0, 按单脉冲按钮 AK1, 测得 (5)CLR=1,J=0,K=0, 按单脉冲按钮 AK1, 测得 (6)CLR=1,J=0,K=1, 按单脉冲按钮 AK1, 测得 (7)CLR=1,J=0,K=0, 按单脉冲按钮 AK1, 测得 (8)CLR=1,J=1,K=1, 按单脉冲按钮 AK1, 测得 Q 1-6

15 图 LS73 测试图 1 图 LS73 测试图 2 (9)CLR=1,J=1,K=1,CK 接 100kHz 脉冲源, 示波器显示出波形如下 : (10) 根据以上的测试, 得出 74LS73 真值表如下 : 输入输出 清零时钟 J K Q L X X X H L L H H L H L H H H H H X X 表 1.6 JK 触发器 74LS73 真值表 Q 六 实验数据处理及总结 1.1 分别测试三个门的逻辑关系, 整理实验数据, 分析实验结果 1.2 分析实验中出现的问题的原因 2.1 将实验数据与真值表比较, 确认三态门特性功能 2.2 分析实验中出现的问题的原因 3.1 将实验数据与真值表比较, 确认触发器功能 3.2 分析实验中出现的问题的原因 1-7

16 实验过程与结果记录 : 要求 : 如实记录实验过程和实验结果, 数据表格 图像应有相应的文字说明 1-8

17 实验结果分析及思考题 : 要求 : 对实验结果进行计算和分析, 回答实验讲义或实验现场遇到的思考题 1-9

18 实验收获 : 要求 : 简要阐述实验的收获, 或对实验提出自己的意见和建议 指导教师批阅意见 :( 在相应栏目中打 ) 预习情况实验过程报告撰写 评价等级 掌握实验原理和实验要求 实验态度 动手能力和团队协作能力 如实记录实验过程 正确记录实验结果并有适当的文字说 对实验结果进行适当的分析并回答思 总体 评价 明 考题 好 中 差 成绩评定 : 指导教师签字 : 年月日 备注 : 注意事项 : 实验过程与结果记录 实验结果分析及思考题两项应实事求是按照实验过程的具体操作 实验观察到的现象以及记录的数据或图像撰写, 对实验结果进行适当的分析, 并回答实验讲义上的思考题和指导教师现场提出的思考题 1-10

19 深圳大学实验报告 课程名称 : 数字电子技术 实验项目名称 : 简单时序电路 ( 实验二 ) 学院 : 光电工程学院 专业 : 授课教师 : 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制

20 实验二 简单时序电路 一 实验目的掌握简单时序电路的分析 设计 测试方法 二 实验所用器件和仪表 1 双 JK 触发器 74LS73 2 片 2 双 D 触发器 74LS74 2 片 3 四 2 输入与非门 74LS00 1 片 4 示波器 1 台 三 实验内容 1 双 D 触发器 74LS74 构成的二进制计数器 ( 分频器 ) (1) 按下图接线,CLR 接逻辑开关输出,LED 接逻辑状态指示 图 2.1 D 触发器 74LS74 构成的二进制计数器 (2) 使 CLR=0, 将 Q0 Q1 Q2 Q3 复位 (3) 由 CLK 端输入单脉冲, 测试并记录 Q0 Q1 Q2 Q3 的状态 (4) 由 CLK 端输入连续脉冲, 观察 Q0 Q1 Q2 Q3 的波形 2 用 2 片 74LS73 构成一个二进制计数器, 重做内容 1 的实验 3 异步十进制计数器 (1) 按图 2.2 构成一个十进制计数器,CLR 接逻辑开关输出,LED 接逻辑状态指示 (2) 将 Q0 Q1 Q2 Q3 复位 (3) 由时钟端 CLK 输入单次脉冲, 测试并记录 Q0 Q1 Q2 Q3 的状态 (4) 由时钟端 CLK 输入连续脉冲, 观察 Q0 Q1 Q2 Q3 的波形 图 2.2 异步十进制计数器 2-1

21 4 自循环计数器 (1) 用双 D 触发器 74LS74 构成一个四位自循环计数器 方法是第一级的 Q 端接第二级的 D 端, 依次类推, 最后第四级的 Q 端接第一级的 D 端 四个 D 触发器的 CLK 端连接在一起, 然后接单脉冲时钟 (2) 将触发器 Q0 置 1,Q1 Q2 Q3 清零 按单脉冲按钮, 观察并记录 Q0 Q1 Q2 Q3 的值 四 实验提示 1 74LS73 引脚 11 是 GND, 引脚 4 是 V CC 2 D 触发器 74LS74 是上升沿触发,JK 触发器 74LS73 是下降沿触发 五 实验接线及测试结果 1 D 触发器接线图及测试结果 (1) 接线图 图 LS74 构成二进制计数器接线图图中,K1 是逻辑开关,AK1 是单次按钮,LED0 LED1 LED2 LED3 是逻辑状态指示灯 (2) 置 K1 为低电平, 四个逻辑状态指示灯为绿色, 表示 Q 3 Q 2 Q 1 Q 0 为 0000 (3) 置 K1 为高电平, 按单次脉冲 AK1,Q 3 Q 2 Q 1 Q 0 的值变化如下 : Q3 Q2 Q1 Q0 表 LS74 构成的计数器状态转移表 2-2

22 (4) 将 CLK 端该接为 100kHz 连续脉冲信号, 用示波器观察 Q0 Q1 Q2 Q3 波形, 画出计数器在连续脉冲信号下 Q0 Q1 Q2 Q3 的波形图如下 : (5) 这是一个计数器 2 JK 触发器计数器接线图及测试结果 (1)JK 触发器计数器接线图 图 LS73 构成二进制计数器接线图图中,K1 是逻辑开关输出,AK1 是单次脉冲按钮,LED0 LED1 LED2 LED3 是逻辑状态指示灯 (2) 置 K1 为低电平, 四个逻辑状态指示灯为绿色, 表示 Q3Q2Q1Q0 为 0000 (3) 置 K1 为高电平, 按单次脉冲按钮 AK1,Q3Q2Q1Q0 的变化如下表 : Q3 Q2 Q1 Q0 表 LS73 构成的计数器状态转移表 2-3

23 (4) 将接 CLK 端的单次脉冲信号改接为 100kHz 连续脉冲, 用示波器观察 Q0 Q1 Q2 Q3 波形, 画出在连续脉冲下 Q0 Q1 Q2 Q3 的波形图如下 : 74LS73 构成的计数器波形图 3 异步十进制计数器接线图及测试结果 (1) 接线图 图 2.7 异步十进制计数器接线图图中,K1 是逻辑开关输出,AK1 是单次脉冲按钮,LED0 LED1 LED2 LED3 是逻辑状态指示灯 (2) 置 K1 为低电平, 四个逻辑状态指示灯为绿色, 表示 Q3Q2Q1Q0 为 0000 (3) 置 K1 为高电平, 按单次脉冲按钮 AK1,Q3Q2Q1Q0 的变化如下表 : Q3 Q2 Q1 Q0 表 2.3 异步十进制计数器状态转移表 2-4

24 (4) 将接 CLK 端的单次脉冲信号改接为 100kHz 连续脉冲, 用示波器观察 Q0 Q1 Q2 Q3 波形, 画出在连续脉冲下 Q0 Q1 Q2 Q3 的波形图如下 : 4 自循环计数器接线图及测试结果 (1) 接线图 图 2.9 自循环计数器接线图图中,K1 K2 是逻辑开关输出,AK1 是单次脉冲按钮,LED0 LED1 LED2 LED3 是逻辑状态指示灯 (2) 置 K1 为低电平,K2 为高电平, 四个逻辑状态指示灯亮绿色, 表示 Q3Q2Q1Q0 为 0000 (3) 置 K1 为高电平,K2 为低电平,LED0 灯亮红色, 其它亮绿色, 表示 Q3Q2Q1Q0 为 0001 (4) 置 K1 K2 为高电平, 按单次脉冲按钮 AK1,Q3Q2Q1Q0 的值变化表如下 : Q3 Q2 Q1 Q0 表 2.4 自循环计数器状态转移表 (5) 自启动判断, 反复开关电源, 每开一次电源, 分别按下单次触发脉冲, 记录状态变化情况, 确认该电路能否自启动 六 实验数据处理及总结 2-5

25 1 整理实验数据, 分析各种计数器波形图 2 分析实验中出现的问题的原因 2-6

26 实验过程与结果记录 : 要求 : 如实记录实验过程和实验结果, 数据表格 图像应有相应的文字说明 2-7

27 实验结果分析及思考题 : 要求 : 对实验结果进行计算和分析, 回答实验讲义或实验现场遇到的思考题 2-8

28 实验收获 : 要求 : 简要阐述实验的收获, 或对实验提出自己的意见和建议 指导教师批阅意见 :( 在相应栏目中打 ) 预习情况实验过程报告撰写 评价等级 掌握实验原理和实验要求 实验态度 动手能力和团队协作能力 如实记录实验过程 正确记录实验结果并有适当的文字说 对实验结果进行适当的分析并回答思 总体 评价 明 考题 好 中 差 成绩评定 : 指导教师签字 : 年月日 备注 : 注意事项 : 实验过程与结果记录 实验结果分析及思考题两项应实事求是按照实验过程的具体操作 实验观察到的现象以及记录的数据或图像撰写, 对实验结果进行适当的分析, 并回答实验讲义上的思考题和指导教师现场提出的思考题 2-9

29 2-10

30 深圳大学实验报告 课程名称 : 数字电子技术 实验项目名称 : 计数器 ( 实验三 ) 学院 : 光电工程学院 专业 : 授课教师 : 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制

31 实验三 计数器 一 实验目的 1 掌握计数器 74LS162 的功能 2 掌握计数器的级联方法 3 熟悉任意模计数器的构成方法 4 熟悉数码管的使用 二 实验说明计数器器件是应用较广的器件之一, 它有很多型号, 各自完成不同的功能, 可根据不同的需要选用 本实验选用 74LS162 做实验器件 74LS162 引脚图见附录 74LS162 是十进制 BCD 同步计数器 Clock 是时钟输入端, 上升沿触发计数触发器翻转 允许端 P 和 T 都为高电平时允许计数, 允许端 T 为低时禁止 Carry 产生 同步预置端 Load 加低电平时, 在下一个时钟的上升沿将计数器置为预置数据端的值 清除端 Clear 为同步清除, 低电平有效, 在下一个时钟的上升沿将计数器复位为 0 74LS162 的进位位 Carry 在计数值等于 9 时, 进位位 Carry 为高, 脉宽是 1 个时钟周期, 可用于级联 三 实验所用器件和仪器 1 同步 4 位 BCD 计数器 74LS162 2 片 2 二输入四与非门 74LS00 1 片 3 示波器 四 实验内容 1 用 1 片 74LS162 和 1 片 74LS00 采用复位法构一个模 7 计数器 用单脉冲做计数时钟, 观测计数状态, 并记录 用连续脉冲做计数时钟, 观测并记录 Q D,Q C,Q B,Q A 的波形 2 用 1 片 74LS162 和 1 片 74LS00 采用置位法构一个模 7 计数器 用单脉冲做计数时钟, 观测并记录 Q D,Q C,Q B,Q A 的波形 3 用 2 片 74LS162 和 1 片 74LS00 构成一个模 60 计数器 2 片 74LS162 的 Q D,Q C,Q B,Q A 分别接两个译码显示的 D,B,C,A 端 用单脉冲做计数时钟, 观测数码管数字的变化, 检验设计和接线是否正确 五 实验接线及测试结果 1 复位法构成的模 7 计数器接线图及测试结果 (1) 复位法构成的模 7 计数器接线图 图 3.1 复位法 7 进制计数器接线图 1 图 3.2 复位法 7 进制计数器接线图 2 3-1

32 图中,AK1 是按单脉冲按钮,LED0,LED1,LED2 和 LED3 是逻辑状态指示灯,100kHz 是连续脉冲源 (2) 按单脉按钮 AK1,Q D,Q C,Q B,Q A 的值变化如下 : Q D Q C Q B Q A 表 3.1 置位法 7 进制计数器状态转移表 (3) 将时钟端 CK 改接 100kHz 连续脉冲信号,( 见图 9.2) 用示波器观测 Q D,Q C,Q B,Q A 并在连续计数时钟下 Q D,Q C,Q B, 和 Q A 的波形图如图 3.3: 图 3.3 复位法 7 进制计数器状态波形图 2 置位法模 7 计数器接线图及测试结果 (1) 置位法模 7 计数器接线图 图 3.4 置位法 7 进制计数器接线图 1 图 3.5 置位法 7 进制计数器接线图 2 图中,AK1 是按单脉冲按钮,LED0,LED1,LED2 和 LED3 是逻辑状态指示灯图中,H L 分别为高电平 低电平接逻辑开关输出,100kHz 是连续脉冲源信号 (1) 按单脉冲按钮 AK1,Q D,Q C,Q B,Q A 的值变化如下 : 表 3.2 置位法模 7 计数器状态转移表 Q D Q C Q B Q A 3-2

33 (2) 将时钟端 CK 改接 100kHz 连续脉冲信号,( 见图 3.5) 用示波器观测 Q D,Q C,Q B,Q A 并在连续计数时钟下 Q A,Q B,Q C 和 Q D 的波形图如图 3.6: 图 模 60 计数器接线图 (1) 复位法模 60 计数器接线图 置位法模 7 计数器波形图 图 3.7 复位法模 60 计数器接线图图中, A B C D 是译码显示的数据输入端,AK1 是单脉冲按钮 (2) 置位法模 60 计数器接线图 3-3

34 图 3.8 置位法模 60 进制计数器接线图图中, A B C D 是译码显示的数据输入端,AK1 是单脉冲按钮 图中 接地, 观察单脉冲出发时计数器输出变化情况, 分析其动作原理 六 设计部分 1 自拟 100 进制计数器实验内容及实验步骤 七 实验数据处理及总结 1 整理实验数据, 分析实验波形 2 分析实验中出现的问题的原因 3-4

35 实验过程与结果记录 : 要求 : 如实记录实验过程和实验结果, 数据表格 图像应有相应的文字说明 3-5

36 实验结果分析及思考题 : 要求 : 对实验结果进行计算和分析, 回答实验讲义或实验现场遇到的思考题 3-6

37 实验收获 : 要求 : 简要阐述实验的收获, 或对实验提出自己的意见和建议 指导教师批阅意见 :( 在相应栏目中打 ) 预习情况实验过程报告撰写 评价等级 掌握实验原理和实验要求 实验态度 动手能力和团队协作能力 如实记录实验过程 正确记录实验结果并有适当的文字说 对实验结果进行适当的分析并回答思 总体 评价 明 考题 好 中 差 成绩评定 : 指导教师签字 : 年月日 备注 : 注意事项 : 实验过程与结果记录 实验结果分析及思考题两项应实事求是按照实验过程的具体操作 实验观察到的现象以及记录的数据或图像撰写, 对实验结果进行适当的分析, 并回答实验讲义上的思考题和指导教师现场提出的思考题 3-7

38 3-8

39 深圳大学实验报告 课程名称 : 数字电子技术 实验项目名称 : 555 时基电路及其应用 ( 实验四 ) 学院 : 光电工程学院 专业 : 授课教师 : 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制

40 实验四 555 时基电路及其应用 一 实验目的 1 熟悉 555 型集成时基电路结构 工作原理及其特点 2 掌握 555 型集成时基电路的基本应用 二 实验原理集成时基电路又称为集成定时器或 555 电路, 是一种数字 模拟混合型的中规模集成电路, 应用十分广泛 它是一种产生时间延迟和多种脉冲信号的电路, 其电路类型有双极型和 CMOS 型两大类, 二者的结构与工作原理类似 几乎所有的双极型产品型号最后的三位数码都是 555 或 556: 所有的 CMOS 产品型号最后四位数码都是 7555 或 7556, 二者的逻辑功能和引脚排列完全相同, 易于互换 555 和 7555 是单定时器 556 和 7556 是双定时器 双极型的电源电压 V CC =+5V--+15V, 输出的最大电流可这 200mA,CMOS 型的电源电压为 V 电路的工作原理 图 电路内部框图 555 电路的内部电路组成如图 4.1 所示 它含有两个电压比较器, 一个基本 RS 触发器, 一个放 电开关管 T, 比较器的参考电压由三只 5KΩ 的电阻器构成的分压器提供 它们分别使高电平比较器 A 1 的同相输入端和低电平比较器 A 2 的反相输入端的参考电平为 2/3V CC 和 1/3V CC A 1 与 A 2 的输出端控 制 RS 触发器状态和放电管开关状态 当输入信号自 6 端输入并超过参考电平 2/3 V CC 时, 触发器复位,555 的输出端 3 端输出低电平, 同时放电开关管导通 当输入信号自 2 端输入并低于时, 触发器置位,555 的 3 端输出高电平, 同时放电开关管截止 4-1

41 图 电路引脚图 R d 是复位端 (4 端 ), 当 R d =0 时,555 输出低电平 平时 R d 端开路或接 V CC VC 是控制电 压端 (5 端 ), 平时输出 2/3 V CC 作为比较器 A 1 的参考电平, 当 5 端外接一个输入电压, 即改变了比较器的参考电平, 从而实现对输出的另一种控制, 在不接外加电压时, 通常接一个 0.01uF 的电容器 到地, 起滤波作用, 以消除外来的干扰, 以确保参考电平的稳定 T 为放电管, 当 T 导通时, 给接于 7 端的电容器提供低阻放电通路 555 定时器主要是与电阻 电容构成充放电电路, 并由两个比较器来检测电容器上的电压, 以 确定输出电平的高低和放电开关管的通断 利用它可以构成从微秒到数十分钟的延时电路 单稳态 触发器 多谐振荡器 施密特触发器等脉冲产生或波形变换电路 定时器的典型应用 (1) 构成单稳态触发器由 555 定时器和外接定时元件 R C 构成的单稳态触发器, 稳态时 555 电路输入端处于电源电平 内部放电开关管 T 导通, 输出端为低电平, 当有一个外部负脉冲触 发信号经 C 1 加到 2 端时, 并使 2 端电位瞬时低于 1/3V CC, 低电平比较器动作, 单稳态电路即开始一个暂态过程, 电容 C 开始充电,V C 按指数规律增长 当 V C 充电到 2/3 V CC 时, 高电平比较器动作, 比较器 A 1 翻转, 输出 V 0 从高电平返回低电平, 放电开关管 T 重新导通, 电容 C 上的电荷很快经放电 开关管放电, 暂态结束, 恢复稳态 电路图如图 4.2, 波形如图 4.3 所示 图 4.2 单稳态触发器电路图 图 4.3 单稳态触发器波形图 暂稳态的持续时间 t w ( 即为延时时间 ) 决定于外接元件 R C 值的大小 t w =1.1RC 通过改变 R C 的大小, 可使延时时间在几个微秒到几十分钟之间变化 4-2

42 (2) 构成多谐振荡器电路图 波形图如图 11.4(a)(b), 由 555 定时器和外接元件 R 1 R 2 C 构成多谐振荡器 电路没有稳态, 仅存在两个暂稳态, 电路亦不需要外加触发信号, 利用电源通过 R 1 R 2 向 C 充电, 以及 C 通过 R 2 向放电端 C t 放电, 使电路产生振荡 电容 C 在 1/3V CC 和 2/3V CC 之间充电和放电, 输出信号的时间参数是 : T=t w1 +t w2 t w1 =0.7(R 1 +R 2 )C t w2 =0.7R 2 C 一般要求 R 1 与 R 2 均应大于或等于 1KΩ, 但 R 1 +R 2 应小于或等于 3.3MΩ (a) 图 4.4 多谐振荡器电路图 波形图 (b) (3) 构成施密特触发器 电路如图 4.5 所示 图 4.5 施密特触发器电路图 只要将 2 6 两端连在一起作为信号输入端, 即得到施密特触发器 图 11.6 是 V i 和 V 0 的波形变 4-3

43 换图 Vi 是正弦波经 C1 加到 555 定时器的 2 端和 6 端, 当 V i 波形上升到 2/3V CC 时,V 0 从高电平翻转 为低电平 ; 当 V i 下降到了 1/3V CC 时,V 0 又从低电平翻转为高电平 其回差电压为 : 回差电压 ΔU= 2/3V CC -1/3V CC = 1/3V CC 图 4.6 波形变换图及电压传输特性 三 实验所用器件和仪表 1 示波器 1 台 2 NE555 1 片 四 实验内容 1 单稳态触发器 (1) 按图 11.2 连线, 取 R=100KΩ,C=0.1uF, 输入信号 V i 为 1kHz 的连续脉冲, 用双踪示波器观测 V i V C V 0 波形, 测量幅度与暂稳时间 (2) 分别改变 R C, 观测 V i V C V 0 波形的变化, 测量幅度及暂稳时间 2 多谐振荡器 (1) 按图 11.4 按线, 用双踪示波器观测 V C 与 V 0 的波形, 测定振荡频率 (2) 分别改变 R 1 R 2 C 观测波形及频率的变化 3 施密特触发器按图 11.5 接线, 输入信号由交直流信号源提供,Vi 的频率为 1KHz, 接通电源, 顺时针调节幅度电位器逐渐加大 Vi 的幅度, 观测输出波形, 测绘电压传输特性, 算出回差电压 ΔU 五 实验数据处理及总结 1 给出详细的实验线路图及观测到的波形 2 分析 总结实验结果 3 分析实验中出现的问题的原因 4-4

44 实验过程与结果记录 : 要求 : 如实记录实验过程和实验结果, 数据表格 图像应有相应的文字说明 4-5

45 实验结果分析及思考题 : 要求 : 对实验结果进行计算和分析, 回答实验讲义或实验现场遇到的思考题 4-6

46 实验收获 : 要求 : 简要阐述实验的收获, 或对实验提出自己的意见和建议 指导教师批阅意见 :( 在相应栏目中打 ) 预习情况实验过程报告撰写 评价等级 掌握实验原理和实验要求 实验态度 动手能力和团队协作能力 如实记录实验过程 正确记录实验结果并有适当的文字说 对实验结果进行适当的分析并回答思 总体 评价 明 考题 好 中 差 成绩评定 : 指导教师签字 : 年月日 备注 : 注意事项 : 实验过程与结果记录 实验结果分析及思考题两项应实事求是按照实验过程的具体操作 实验观察到的现象以及记录的数据或图像撰写, 对实验结果进行适当的分析, 并回答实验讲义上的思考题和指导教师现场提出的思考题 4-7

47 4-8

48 选作部分 实验五 TTL HC 和 HCT 器件的参数测试 一 实验目的 1 掌握 TTL HCT 和 HCT 器件的传输特性 2 熟悉万用表的使用方法 二 实验所用器件和仪表 1 六反相器 74LS04 1 片 2 六反相器 74HC04 1 片 3 六反相器 74HCT04 1 片 4 万用表 三 实验说明非门的输出电压 V O 与输入电压 V I 的关系 V O =f(v I ) 叫做电压传输特性, 也叫做电压转移特性 它可以用一条曲线表示, 叫做电压传输特性曲线 从传输特性曲线可以求出非门的下列参数 : 1 输出高电平 (V OH ) 2 输出低电平 (V OL ) 3 输入高电平 (V IH ) 4 输入低电平 (V IL ) 5 门槛电平 (V T ) 四 实验内容 1 测试 TTL 器件 74LS04 一个非门的传输特性 2 测试 HC 器件 74HC04 一个非门的传输特性 3 测试 HCT 器件 74HC04 一个非门的传输特性 五 实验提示 1 注意被测器件的引脚 7 和引脚 14 分别接地和接 +5V 2 将实验箱上直流信号源的输出端作为被测非门的输入电压 旋转电位器改变非门的输入电压值 3 按步长 0.2V 调整率改变非门的输入电压 首先用万用表监视非门输入电压, 调好输入电压后, 再用万用表测试测量非门的输出电压, 并记录下来 六 实验接线图及实验结果 1 实验接线图由于 74LS04 74HC04 和 74HCT04 的逻辑功能相同, 因此三个实验的接线图是一样的 下面以第一个逻辑门为例, 画出实验接线图 (V I 表示非门输入电压, 电压表表示电压测试点 ) 如下 : x-1

49 图 5.1 实验接线图 2 输出无负载时 74LS04 74HC04 74HCT04 电压传输特性测试数据 表 LS04 74HC04 74HCT04 电压传输特性测试数据 输入 Vi (V) 输出 Vo(V) 74LS04 74HC04 74HCT04 x-2

50 5.0 3 输出无负载时 74LS04 74HC04 和 74HCT04 电压传输特性曲线 ( 请根据实验数据绘制 3 条曲线 ) 4 比较三条电压传输特性曲线, 说明各自的特性 七 实验数据处理及总结 1 认真观测实验现象, 根据实验数据画出所测器件的传输特性, 整理实验结果 2 分析实验中出现的问题的原因 x-3

51 实验六 数据选择器和译码器 一 实验目的 1 熟悉数据选择器的逻辑功能 2 熟悉译码器的逻辑功能 二 实验所用器件和仪表 1 双 4 选 1 数据选择器 74LS153 1 片 2 双 2 4 线译码器 74LS139 1 片 3 万用表 4 示波器 三 实验内容 1 测试 74LS153 中一个 4 选 1 数据选择器的逻辑功能 4 个数据输入引脚 1C0 1C3 分别接实验板上的 4 个固定脉冲信号源或 4 位逻辑电平 改变数据选择器引脚 A B 和使能引脚 G1 的电平, 产生 8 种不同的组合 观测每种组合下数据选择器的输出波形或逻辑电平 2 测试 74LS139 中一个 2 4 译码器的逻辑功能 4 个译码输出引脚 Y0 Y3 接逻辑状态指示灯 改变引脚 G B A 的电平, 产生 4 种组合 观测并记录指示灯的显示状态 四 实验接线图及实验结果 1 74LS153 实验接线图和 74LS153 真值表 U 14 K2 2 K3 G 0 _ 3 K1 C0 C1 C2 C MUX 7 9 G 图 6.174LS1 74LS153 实验接线图 图 6.1 中,K1 K2 K3 是逻辑开关输出 x-4

52 表 LS153 真值表 选择输入 数据输入 选通 输出 B A C0 C1 C2 C3 X X X X X X L L L X X X L L H X X X L H X L X X L H X H X X H L X X L X H L X X H X H H X X X L H H X X X H 2 74LS139 实验接线图和 74LS139 真值表 输入端 允许 G 选择 B A H X X L L L L L H L H L L H H 输出端 图 LS139 实验接线图表 LS139 真值表 图 6.2 中,K1 K2 K3 是逻辑开关输出,LED0 LED1 LED2 LED3 是逻辑状态指示灯 1 根据测试结果, 分析 74LS139 和 74LS153 中的逻辑功能 : 五 实验数据处理及总结 1 将实验数据与真值表比较, 确认数据选择器和译码器功能 2 分析实验中出现的问题的原因 x-5

53 实验七 全加器构成及测试 一 实验目的 1 了解全加器的实现方法 2 掌握全加器的逻辑功能 二 实验所用器件和仪表 与或非门 74S54 2 片 2 六反相器 74LS04 1 片 三 实验内容 1 用 2 片 74LS54 和 1 片 74LS04 组成下图所示逻辑电路 图 7.1 全加器 2 将 A B CI 接逻辑开关输出,F CO 接逻辑状态显示灯 3 按入或弹出逻辑开关, 产生 A B CI 的 8 种组合, 观测并记录 F 和 CO 的值 四 实验提示对与或非门而言, 如果一个与门中的一条或几条输入引脚不被使用, 则需将它们接高电平 ; 如果一个与门不被使用, 则需将此与门的至少一条输入引脚接低电平 另外 IC 的 14 脚接电源,7 脚接地 五 实验接线图 真值表和逻辑表达式 1 实验接线图图 7.2 是用 2 片 与或非门 74S54 和一片反相器 74LS04 组成的全加器接线图 图中 K1 K2 K3 是逻辑开关输出,LED0 LED1 是逻辑状态指示灯 x-6

54 2 全加器真值表 图 7.2 全加器实验接线图 输 入 输 出 A B CI F CO 全加器逻辑表达式 六 实验数据处理及总结 1 将实验数据与真值表比较, 确认全加器功能 2 根据全加器的电路图推导全加器的逻辑表达式 3 分析实验中出现的问题的原因 x-7

55 实验八 组合逻辑中的冒险现象 一 实验目的 了解组合逻辑中的竞争冒险现象的原因 二 实验所用器件和仪表 1 六反相器 74LS04 1 片 2 四 2 输入正与非门 74LS00 1 片 3 示波器 1 台 三 实验内容 1 将 74LS04 中的三个反相器串接在一起 ( 前级的输出作为下一级的输入 ) 第 1 级反相器的输入接 100kHz 脉冲源 将第 1 级反相器的输入和第 3 级反相器的输出分别作为 74LS00 中一与非门的输入, 用示波器观测与非门的输出 2 将 74LS04 中的五个反相器串接在一起 第一级反相器的输入接 100kHz 脉冲源 将第 1 级反相器的输入和第 5 级反相器的输出分别作为 74LS00 中一个与非门的输入, 用示波器观测与非门的输出 四 实验接线图 波形图 1 实验第 1 部分的接线图 波形图 图 8.1 实验第 1 部分的接线图 图 实验第 2 部分的接线图 波形图 实验第 1 部分的波形图 图 8.3 实验第 2 部分的接线图 图 8.4 实验第 2 部分的波形图 3 分析波形图上冒险现象产生的原因 假定第一级反相器的输入 100kHz 脉冲用 A 代表, 那末 OUTPUT=A A 如果仅考虑逻辑 x-8

56 表达式, 那么输出是固定的高电平, 示波器上应显示出一个代表高电平的直线 但是由于 A 是由 A 经过三级反相器 ( 或者五级反相器 ) 产生的, 它的跳变时间比 A 的跳变时间有所延迟, 产生了冒险现象, 在与非门的输出引起出现向下的毛刺 由于五级反相器的延迟时间大于三级反相器的延迟时间, 因此实验 2 中波形的毛刺与实验 1 中波形的毛刺相比, 既宽又长 x-9

57 实验九 四相时钟分配器 一 实验目的 1 学习译码器的使用 2 学习设计 调试较为复杂的数字电路 3 学会用示波器测量三个以上波形的时序关系 二 实验所用器件和仪表 1 双 JK 触发器 74LS73 1 片 2 双 2 4 线译码器 74LS139 1 片 3 六反相器 74LS04 1 片 4 示波器 1 台 三 实验内容 1 设计一个用上述器件构成的四相时钟分配器 要求的时序关系如下 : 图 9.1 四相时钟时序图 2 画出设计逻辑图 3 在实验箱上按逻辑图连接线路 示波器测量 CP A 相 B 相 C 相 D 相的时序关系, 画出时序图, 检查是否满足要求 四 实验提示 1 双 JK 触发器 74LS73 引脚 11 是 GND, 引脚 4 是 Vcc 2 用 74LS73 构成一个四进制计数器 3 计数器输出 Q 0 Q 1 作为译码器的输入 4 用示波器测量多个信号的时序关系是以测量两个信号的时序关系为基础的 本实验中, 可首先测量 CP 和 A 相时钟的时序关系, 然后测量其它相时钟和 A 相时钟的时序关系 五 实验接线图及测试结果 x-10

58 1 实验接线图 图 9.2 四相时钟分配器接线图 2 实验步骤及测得波形图 (1) 按图 9.2 接线, 双 JK 触发器 74LS73 引脚 11 是 GND, 引脚 4 是 Vcc (2) 用双踪示波器测量 CP 和 A 相时钟波形, 结果如下 : 图 9.3 CP 和 A 相时钟波形图 (3) 用双踪示波器测量 A 相时钟波形和 B 相时钟波形, 结果如下 : 图 9.4 A 相时钟波形和 B 相时钟波形图 (4) 用双踪示波器测量 B 相时钟波形和 C 相时钟波形, 结果如下 : 图 9.5 B 相时钟波形和 C 相时钟波形图 (5) 用双踪示波器测量 C 相时钟波形和 D 相时钟波形, 结果如下 : 图 9.6 C 相时钟波形和 D 相时钟波形图六 实验数据处理及总结 1 整理实验数据, 分析实验波形 2 分析实验中出现的问题的原因 x-11

59 实验十 A/D 转换器实验 一 实验目的 1 熟悉集成 A/D 转换器的工作原理 特性和使用方法 2 掌握大规模集成 A/D 转换器的功能及其典型应用 二 实验原理在数字电子技术的应用中需要把模拟信号转换为数字信号, 称为模 / 数转换器 (A/D 转换器, 简称 ADC) 完成这种转换的器件种类很多, 特别是单片大规模集成 A/D 转换器问世实现上述的转换提供了极大的方便 使用者可借助于手册提供的器件性能指标及典型应用电路, 即可正确使用这些器件 本实验将采用大规模集成电路 ADC0809 实现 A/D 转换 D/A 转换器 DAC0809 简介 ADC0809 是一个带有 8 通道多路模拟开关能与微处理器兼容的 8 位 A/D 转换器, 它是单片 CMOS 器件, 采用逐次逼近法进行转换 图 10.1 是它的逻辑框图和引脚图 图 10.1 逻辑框图与引脚图 ADC0809 的引脚功能说明如下 : IN 0 IN 7 :8 路模拟信号输入端 A 2 A 1 A 0 : 地址输入端 : 根据 A 2 A 1 A 0 的地址编码选通 8 路模拟信号 IN 0 IN 7 中的任何一路进行 A/D 转换, 地址译码与模拟输入通道的选通关系如下表所示 : 被选模拟通道 IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 A 地 A 址 A ALE: 地址锁存允许输入信号, 在此脚施加正脉冲, 上升沿有效, 此时锁存地址码, 从而选通相应的模拟信号通道, 以便进行 A/D 转换 START: 启动信号输入端, 应在此脚施加正脉冲, 当上升沿到达时, 内部逐次逼近寄存器复位, 在下降沿到达后, 开始 A/D 转换过程 EOC: 转换结束输出信号 ( 转换结束标志 ), 高电平有效 x-12

60 OE: 输入允许信号, 高电平有效 CP: 时钟信号输入端, 外接时钟频率一般为几百 KHz V CC :+5V 单电源供电 V REF(+) V REF( ) : 基准电压的正极 负极 一般 V REF(+) 接 +5V 电源,V REF( ) 接地 D7 D0: 数字信号端输出端 三 实验所用器件和仪表 1 双踪示波器 2 ADC 片 3 数字万用表 四 实验内容 1 将 ADC0809 插入集成电路管座中, 按图 10.2 连接实验电路 图 10.2 ADC0809 实验接线图 2 逐次改变直流信号源的输出量如下表数值变化, 每改变一次数值, 触发一下单次, 启动 A/D 转换器, 将转换结果填入下表中 x-13

61 输入模拟量 输 出 数 字 量 V i (V) D7 D6 D5 D4 D3 D2 D1 D0 十进制数 (D) 五 实验数据处理及总结 1 整理实验数据, 分析实验结果 2 分析实验中出现的问题的原因 x-14

62 实验十一 D/A 转换器实验 一 实验目的 熟悉集成 D/A 转换器的工作特性和使用方法 二 实验原理在数字电子技术的应用中把数字信号转换为模拟信号电路, 称为数 / 模转换器 (D/A 转换器, 简称 DAC) 完成这种转换的器件种类也很多, 使用者可借助于手册提供的器件性能指标及典型应用电路, 即可正确使用这些器件 本实验将采用集成电路 DAC0832 实现 D/A 转换 D/A 转换器 DAC0832 简介 DAC0832 是采用 CMOS 工艺制成的单片电流输出型 8 位数 D/A 转换器 器件的核心部分采用倒 T 型电阻网络的 8 位 D/A 转换器 它是由倒 T 型 R-2R 电阻网络 模拟开关 运算放大器和参考电压 V REF 四部分组成 一个 8 位的 D/A 转换器, 它有 8 个输入端, 每个输入端是 8 位二进制数的一位 有一个模拟输出端, 输入可有 2 8 =256 个不同的二进制组态, 输出为 256 个电压之一, 即输出电压不是整个电压范围内任意值, 而只能是 256 个可能值 DAC0832 可直接与微处理器相连, 采用双缓冲寄存器 这样可在输出的同时, 采集下一个数字量, 以提高转换速度 图 分别是它逻辑框图和引脚图, 各引脚的功能说明 : D0 D7:8 位数字量输入端, 其中 D0 是最低位 (LSB,D7 是最高位 (MSB) 图 11.1 I 01 :D/A 输出电流 1 端, 当 DAC 寄存器中全部为 1 时,I 01 为最大 ; 当 DAC 寄存器中全部为 0 时, I 01 为最小 I 02: D/A 输出电流 2 端, I 01 +I 02= 常数 x-15

63 图 11.2 Rf: 芯片内的反馈电阻, 用来为外接运放的反馈电阻 V REF : 基准电压输入端, 一般取 -10V-+10V V CC : 电源电压, 一般为 5V-15V DGND: 数字电路接地端 AGND: 模拟电路接地端, 通常与 DGND 相连 CS: 片选信号输入端 ( 低电平有效 ), 与 ILE 共同作用, 对 WE1 信号进行控制 ILE: 输入寄存器的锁存信号 ( 高电平有效 ) 当 ILE=1 且 CS 和 WR1 均为低电平时,8 位输入寄存器允许输入数据 : 当 ILE=0 时,8 位输入寄存器锁存数据 WR1: 写信号 1( 低电平有效 ), 用来将输入数据位送入寄存器中 当 WR1 时, 输入寄存器的数据被锁定 ; 当 CS=0,ILE=1 时, 在 WR1 为有效电平的情况下, 才能写入数字信号 WR2: 写信号 2( 低电平有效 ), 与 XFER 组合, 当 WR2 和 XFER 均为低电平时, 输入寄存器中的 8 位数据传送给 8 位 DAC 寄存器中 :WR2=1 时 8 位 DAC 寄存器锁存数据 XFER: 传递控制信号 ( 低电平有效 ), 用来控制 WR2 选通 DAC 寄存器 三 实验所用器件和仪表 1 DAC 片 2 LM358 1 片 3 数字万用表四 实验内容与方法 1 将 DAC0832 和 LM358 插入集成电路插座中, 按图 11.3 所示连接好电路, 接通电源后将输入数据开关均接 0, 即输入数据 D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0 = , 并调节运放的电位器, 使输出电压 U O =0 2 按上表所示输入数字量 ( 由输入数据开关控制 ), 逐次测量输出模拟电压 U O, 并将结果填入上表中 x-16

64 图 11.3 DAC0832 实验接线图 输入数字量 输出 (V) D7 D6 D5 D4 D3 D2 D1 D0 实验值 理论值 五 预习要求 1 复习 D/A 转换器的工作原理 ; 2 熟悉 DAC0832 芯片的功能 了解它们的外引线排列和使用方法 ; 3 预先绘好完整的实验线路和所需的实验记录表格 六 实验数据处理及总结 1 总结 DAC0832 的转换结果, 并与理论值进行比较 2 分析实验中出现的问题的原因 x-17

65 4 综合性实验 实验十二 多路智力竞赛抢答器设计 一 说明 : 智力竞赛抢答器是一个公正的裁判, 它的任务是从参赛的选手中选出最先抢答者, 并将最先抢答者显示出来 二 设计目的 : 掌握抢答器的工作原理及设计方法 三 设计抢答器的功能要求 1 设计一个智力竞赛抢答器, 可同时供 8 名选手参加比赛, 他们的编号分别是 , 各用一个抢答按钮, 按钮的编号与选手的编号相对应, 分别是 S 0 S 1 S 2 S 3 S 4 S 5 S 6 S 7 2 给节目主持人设置一个控制开关, 用来控制系统的清零 ( 编号显示数码管灭灯 ) 和抢答的开始 3 抢答器具有数据锁存和显示的功能 抢答开始后, 若有选手按动抢答按钮, 编号立即锁存, 并在 LED 数码管上显示出选手的编号 此外, 要封锁输入电路, 禁止其他选手抢答 优先抢答选手的编号一直保持到主持人将系统清零为止 四 抢答器的组成框图抢答器的总体框图如图 所示 此电路完成的功能, 即开始抢答后, 当选手按下抢答键时, 能显示选手的编号, 同时能封锁输入电路, 禁止其他选手抢答的功能 图 抢答器的总体框图 五 电路设计抢答电路的功能有两个 : 一是能分辨出选手按键的先后, 并锁存优先抢答者的编号, 供译码显示电路用 ; 二是要使其他选手按键操作无效 选用优先编码器 74LS148 和 RS 锁存器 74LS279 可以完成上述功能, 其电路组成如图 所示 其工作原理是 : 当主持人控制开关处于 清除 位置时, _ RS 触发器的 R 端为低电平, 输出端 (4Q~1Q) 全部为低电平, 于是 74LS48 的 BI=0, 显示器灭灯 ;74LS148 的选通输入端 ST=0,74LS148 处于工作状态, 此时锁存电路不工作, 当主持人开关拨到 开始 位置时, 优先编码电路和锁存电路同时处于工作状态, 即抢答器处于等待工作状态, 等待输入端 I 7 I 0 输入信号, 当有选手将键按下时 ( 如按下 S 5 ),74LS148 的输出 Y 2 Y 1 Y 0 =010, Y EX =0, 经 RS 锁存器后, CTR=1,BI=1,74LS279 处于工作状态, 4Q3Q2Q=101, 经 74LS48 译码后, 显示器显示出 5 此外 CTR=1, 使 74LS148 的 ST 端为高电平, 74LS148 处于禁止工作状态, 封锁了其他按键的输入, 当按下的键松开后,74LS148 的 Y EX 为高电平, 但由于 CTR 维持高电平不变, 所以 74LS148 仍处于禁止状态, 其它按键的输入信号不会被接收 这就保证了抢答者的优先性以及抢答电路的准确性 当优先者回答完问题后, 由主持人操作控制控制开关 S, 使抢答电路复位, 进行下一轮抢答 x-18

66 六 实验所用器件和仪表 1 74LS148 1 片 2 74LS48 1 片 3 74LS279 1 片 4 数码管 1 只 七 参考原理图如下图 : 图 接线图 八 接好线路图测试电路性能是否满足设计要求, 如果满足不了要求分析其原因, 排除故障 九 实际使用的抢答器需加定时电路和报警电路, 根据图 接线图原理自行完成定时电路和报 警电路的设计, 设计一个完整的抢答器整机电路 设计步骤 : 1 设计定时电路 2 设计报警电路 3 扩展电路设计完毕根据逻辑关系和主电路级连 4 测试扩展后抢答器的逻辑功能, 是否满足设计功能要求 5 整理整机电路原理图 6 写出设计性实验报告 x-19

67 实验十三 数字钟电路设计 一 说明 : 数字钟是能够准确的显示时 分 秒时间, 显示时间有误差可以校时 二 设计目的 : 熟悉数字逻辑电路 掌握数字电路系统的设计方法 三 数字钟的功能要求 : 1 准确计时, 以数字形式显示时 分 秒 2 小时的计时要求为 12 翻 1, 分和秒的计时要求为 60 进位 3 能校正时间 四 数字钟电路的组成框图 : 如图 所示 : 图 组成框图该系统的工作原理是 : 有固定脉冲信号源产生高稳定度的 1Hz 脉冲信号, 作为数字钟的时间基准, 秒计数器计满 60 后向分计数器进位, 分计数器计满后向时计数器进位, 小时计数器按 12 翻 1 的规律计数 计数器输出经译码器译码后送显示器显示 计时出现误差时可以用校时电路进行校时 校分 校秒 五 电路的设计 : 设计电路时应尽量选择常用的集成电路芯片, 并要考虑少用多种型号芯片 1 基准 1Hz 脉冲信号是数字钟的核心, 其稳定度及频率的精度决定了数字钟的准确度, 该实验设备上是采用 2MHz 石英晶体构成的振荡器电路, 振荡器的频率稳定度和准确度都很高, 经分频后获得的 1Hz 的标准脉冲 2 时 分 秒计数器的设计分 秒计数器都是模 M=60 的计数器, 其计数规律为 选择二 五 十进制计数器 74LS90, 再将它们级连组成模数 M=60 的计数器 时计数器是一个 12 翻 1 的特殊进制计数器, 即当数字钟计到 12 时 59 分 59 秒时, 秒的个位计数器再输入一个脉冲时, 数字钟应自动显示为 01 时 00 分 00 秒, 实现日常生活中习惯用的计时规律, 择二 五 十进制计数器 74LS90 级连组成 x-20

68 3 校时电路设计当数字钟接通电源或计时出现错误时, 需要校正时间, 校时是数字钟应具备的基本功能, 一般的电子手表都具有时 分 秒校时功能 为使电路简单, 这里只进行分和时的校时 对校时电路的要求是, 在小时校正时不影响分和秒的正常计数 ; 在分校时不影响秒和小时的正常计数 校时方式有 快校时 和 慢校时 两种, 快校时 是, 通过开关控制, 使计数器对 1Hz 的校时脉冲计数 慢校时 是用手动产生单次脉冲作校时脉冲 图 为校 时 分 电路 其中 S1 为校 分 用的控制开关,S2 为校 时 用的控制开关, 其控制功能如表 所示 S1 S2 功能 1 1 计数 1 0 校分 0 1 校时 表 校时控制功能表 图 校时电路校时电路是由与非门构成的组合逻辑电路, 开关 S2 S1 采取了去抖动电路 六 实验所用器件和仪表 1 74LS00 3 片 2 74LS04 1 片 3 74LS90 6 片 4 万用表 1 块 七 实验提示 : 74LS90 是二 五 十进制计数器,5 脚接 +5V,10 脚接地 八 参考原理图如下图 : x-21

69 九 接好线路图测试电路性能是否满足设计要求, 如果满足不了要求分析其原因, 排除故障 十 写出设计性实验报告 图 接线图 x-22

70 5 附录常用实验器件引线图 1 四 2 输入正与非门 74LS00 Y=AB 2 六反相器 74LS04 Y= A 3 四 2 输入或非门 74LS28 Y=A+B F-1

71 与或非门 74LS54 Y=AB+CDE+FGH+IJ VCC J I H G F NC LS A B C D E Y GND 5 双 JK 触发器 ( 带清零端 )74LS73 真值表 输入 输出 清零时钟 J K Q L X X X L H H L L Q0 Q0 H H L H L H L H L H H H H 反 转 H H X X Q0 Q0 Q F-2

72 6 双 D 触发器 ( 带预置和清零端 )74LS74 真值表 输入输出 预置清零时钟 D Q L H X X H L H L X X L H L L X X H H H H H H L H H L L H H H L X Q0 Q0 Q 7 四 2 输入异或门 74LS86 Y=A B=A B+ AB 8 三态输出的四总线缓冲门 74LS125 正逻辑 Y=A C 为高时输出截止 F-3

73 9 2:4 线译码器 / 分配器 74LS139 真值表 允许 G 输入端 选择 输出端 B A Y0 Y1 Y2 Y3 H X X H H H H L L L L H H H L L H H L H H L H L H H L H L H H H H H L 10 双 4-1 线数据选择器 / 多路开关 74LS153 F-4

74 真值表 选择输入 数据输入 选通 输出 B A C0 C1 C2 C3 G Y X X X X X X H L L L L X X X L L L L H X X X L H L H X L X X L L L H X H X X L H H L X X L X L L H L X X H X L H H H X X L X L L H H X X X H L H 11 同步十进制计数器 74LS LS162 是同步 BCD 计数器, 不同于 160, 它的输出端接 BCD 数码管才可以正常显示数字 2 CLOCK 是计数时钟, 上升沿计数 3 CLEAR 为同步清零, 低有效 4 LOAD 为同步预置, 低有效 5 D C B A 是数据预置端,D 是高位 6 QA QB QC QD 是计数输出,QD 为高位 7 CARRY 是进位位, 高有效, 脉宽与 QA 脉宽相等 8 ENABLE T 和 ENABLE P 为高时, 允许计数 ENABLE T 为低时, 禁止 CARRY 输出 F-5

75 译码器 / 驱动器 74LS 线优先编码器 74LS 十进制计数器 74LS90 15 RS 触发器 74LS279 F-6

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63> 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

数字逻辑设计2013

数字逻辑设计2013 第三讲逻辑门电路 ogic Gte Circuit 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digitl/2spring 课程回顾 布尔代数 6 个公设 个定理 用于开关函数的化简 开关函数 ( 种表示方法 ) 直值表 布尔表达式 (SOP, POS) 最小范式和最大范式 非确定项 ( 无关项 ) 2 如何做一个能计算的设备?

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

案例实训指导书之三

案例实训指导书之三 案例实训指导书之三 用标准集成电路组成 的数字钟 电子技术教研室编 00 年 0 月 目录 一 案例教学的目的 要求和教学方法.... 案例教学的目的.... 案例教学的要求.... 案例教学的教学方法... 二 数字钟的组成框图 电原理图.... 数字钟电路的组成框图.... 数字钟组成框图中各个组成部分的电原理图.... 数字钟的电原理图... 三 案例思考题... 0. 和案例直接相关的思考题...

More information

实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题 二 实验仪器与器件 1 示波器; 2 毫伏表; 3 函数信号发生器 ; 4 万用表; 5 直流稳压电源; 6

实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题 二 实验仪器与器件 1 示波器; 2 毫伏表; 3 函数信号发生器 ; 4 万用表; 5 直流稳压电源; 6 深圳大学实验报告 课程名称 : 模拟电子技术 实验项目名称 : 模拟运算电路 ( 实验二 ) 学院 : 光电工程学院 专业 : 光电信息工程 授课教师 : 张敏 实验指导教师 : 报告人 : 学号 : 实验时间 : 实验报告提交时间 : 教务处制 实验二集成运算放大器的基本应用 (I) 模拟运算电路 一 实验目的 1 研究由集成运算放大器组成的比例 加法 减法和积分等基本运算电路的功能 2 了解运算放大器在实际应用时应考虑的一些问题

More information

4 项目需用仪器设备名称 : 示波器 信号源 数字逻辑实验箱 5 所需主要元器件及耗材 : CD4011 CD4001 CD4070 CD4069 导线若干 6 学时数 : 2 学时 实验项目 3 1 实验项目名称 : 常用数字逻辑门输入输出特性测试 2 实验项目的目的和任务 : 掌握 CMOS T

4 项目需用仪器设备名称 : 示波器 信号源 数字逻辑实验箱 5 所需主要元器件及耗材 : CD4011 CD4001 CD4070 CD4069 导线若干 6 学时数 : 2 学时 实验项目 3 1 实验项目名称 : 常用数字逻辑门输入输出特性测试 2 实验项目的目的和任务 : 掌握 CMOS T 电子技术应用实验 1( 数字电路基础 ) 课程教学大纲 课程编号 :0230410 适用专业 : 电子技术类理 工科专业 学时数 :20 学时学分数 :1 开课学期 : 第 4 学期 先修课程 : 模拟电路 数字电路 执笔者 : 陈瑜编写日期 :2013 年 5 月 22 日审核人 : 一 课程性质和目标授课对象 : 本科电子类理 工科中高年级学生课程类别 : 学科基础课教学目标 : 本课程以数字逻辑设计课程中的组合逻辑与时序逻辑电路应用为基础,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激

图 1 门电路实现全加器仿真图 (7) 放置输入源 单击工具栏中的按钮, 在图 1 的输入端放置 DCLOCK 型激励源 然后双击打开其编辑框, 如图 2 所示, 对其数值进行修改 本实验中, 输入 A 的周期设置为 4s, 输入 B 的周期设置为 2s, 输入 CI 的周期设置为 1s 图 2 激 数字全加器操作说明书 本实验将分别采用 (1) 门电路 (2) 数据选择器 (3) 二进制译码器三种不同逻辑器件实现 1 位全加器的逻辑电路功能 具体的实验步骤及操作方法分述如下 1. 使用小规模集成电路 ( 门电路 ) 实现全加器 (1) 根据 1 位全加器的逻辑功能, 写出 1 位全加器的逻辑真值表, 如表 1 所示 输入 输出 表 1. 1 位全加器的逻辑真值表 A 0 0 0 0 1 1 1

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

图 1-1 Multisin 2001 的界面组成 设计栏 设计栏部分是 Multisim 2001 的核心部分, 通过它可以直接访问到程序提供的各种复杂功能 Component( 元件 ) 按钮缺省时是被选中的 ( 呈显出按钮被按下去的状态 ), 用户界面 中显示出元件工具条 Component

图 1-1 Multisin 2001 的界面组成 设计栏 设计栏部分是 Multisim 2001 的核心部分, 通过它可以直接访问到程序提供的各种复杂功能 Component( 元件 ) 按钮缺省时是被选中的 ( 呈显出按钮被按下去的状态 ), 用户界面 中显示出元件工具条 Component Multisim 仿真系统使用学习 一 实验目的 初步掌握 Multisim 2001 仿真系统的组成及其使用方法 二 实验预习 Windows 操作系统的使用方法及其上应用程序的操作方法 三 实验器材 Multisim 2001 仿真软件 四 实验内容和步骤 1 介绍 本实验手册假定操作者已经熟悉并掌握了 Windows 的应用 ( 例如, 用鼠标选择项目 使能 / 禁止某个选项等等 ) 1.1

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

Microsoft Word - page.doc

Microsoft Word - page.doc 全国高职高专规划教材 数字电路与逻辑设计 杨爱琴主编余根墀高志宏副主编 北 京 内容简介 本书共 8 章, 内容包括数字电路基础 组合逻辑电路 常用组合逻辑摸块及其应用 时序逻辑电路 常用时序逻辑摸块及其应用 脉冲产生电路及集成定时器 集成数 / 模和模 / 数转换器及其应用 可编程逻辑电路简介等 本书按照高职高专培养应用性 实用性人才的要求, 省略了集成电路的内部组成 结构和工作原理, 重点介绍集成电路的外部特性

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5>

<4D F736F F D20CAFDD7D6B5E7C2B7CAB5D1E9BDB2D2E5> 实验一 TTL 集成门的测试与使用 一 实验目的 (1) 掌握 TTL 与非门 集电极开路门和三态门逻辑功能的测试方法 (2) 熟悉 TTL 与非门 集电极开路门和三态门主要参数的测试方法二 实验原理 1.TTL 集成与非门 实验使用的 TTL 与非门 74LS020( 或 T4020 T063 等 ) 是双 4 输入端与非门, 即在一块集成块内含有两个 互相独立的与非门, 每个与非门有 4 个输入端

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

实验一 TTL与非门逻辑功能及主要参数的测试

实验一  TTL与非门逻辑功能及主要参数的测试 黄河科技学院系列教材 ( 讲义 ) 数字电路基础实验指导 主编 : 董雪峰 编委 : 董雪峰蔡晓艳王丽霞 李小亮 黄河科技学院电子产品设计与制作实验实训中心 二〇〇七年十二月 前言 数字电路基础实验指导 自 2007 年出版以来, 在我校各类层次的专业中进行了使用, 得到了广大读者的关心和支持, 提出了许多宝贵的意见 随着电子技术的飞速发展和我校教改的进一步深入, 原教材中的部分内容有的显得陈旧,

More information

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 北京锐志天宏科技股份有限公司 北京 锐志天宏科技股份有限公司 雕刻机运动控制系统 A18- 四轴机械雕刻接线手册 接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 接口板 I/O 口说明 : 端口端口标号定义 J10 电源 J 2 J 3 J 4 输 入 端 口 X 轴 脉 冲 输 出 端 口 Y 轴 脉 冲 输 出 端 口 Z 轴 脉 冲 输 出 端

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

2010产品目录.cdr

2010产品目录.cdr 固态继电器 olid tate elay 固态继电器 * 因产品开发及技术改进等原因, 实际销售产品可能与本手册中展示的产品有所不同, 本公司保留相关权利, 产品若有改动, 恕不另行通知 固态继电器目录 P C B 型单相交流固态继电器 单相交流固态继电器 (DC-AC) 产品目录 PCB 型固态继电器 1 面板安装型固态继电器 2 工业级固态继电器 3 单相直流固态继电器 (DC-AC) 面板安装型直流固态继电器

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V 3. 测量电压放大倍数调节一个频率为 1kHz 峰- 峰值为 50mV 的正弦波作为输入信号 U i 断开 DTP5 接地的线, 把输入信号连接到 DTP5, 同时用双踪示波器观察放大器输入电压 U i (DTP5 处 ) 和输出电压 U o (DTP25 处 ) 的波形, 在 U o 波形不失真的条件下用毫伏表测量下述三种情况下 :1 不变实验电路时 ;2 把 DTP32 和 DTP33 用连接线相连时

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

第十五章 脉冲波形的产生和整形

第十五章  脉冲波形的产生和整形 第十五章脉冲波形的产生和整形 第十五章脉冲波形的产生和整形... 9 第一节概述... 9 5.. 脉冲电路的分析... 94 5.. 电路的应用... 95 第二节单稳态触发器... 98 5.. 用门电路组成的单稳态触发器... 98 5.. 集成单稳态触发器... 40 5.. 单稳态触发器的应用... 404 第三节多谐振荡器... 405 5.. 自激多谐振荡器... 405 5.. 环形振荡器...

More information

Microsoft PowerPoint - vlsi_chapter08

Microsoft PowerPoint - vlsi_chapter08 第 8 章高速 MOS 逻辑电路设计 本章目录 8. 门延时 8. 驱动大电容负载 8. 逻辑努力 (ogical Effot) 8.4 BiMOS 驱动器 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 MOS 逻辑门的开关时间 上升时间 : t 下降时间 : t f t 0 t f 0 α p n α 08-9-5 第 8 章高速 MOS 逻辑电路设计 8. 门延时 参照晶体管

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

EG4002 红外热释电处理芯片数据手册

EG4002 红外热释电处理芯片数据手册 ELERONIC GINT EG400 芯片数据手册 REV 1. 版本变更记录 版本号 日期 描述 V1.0 01 年 11 月 1 日 EG400 数据手册初稿 V1.1 01 年 0 月 6 日 添加光敏电阻应用图 V1. 01 年 04 月 6 日 更新光敏电阻应用图 / 1 目录 1. 特点... 4. 描述... 4. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义...

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

三 原理框图 VDD 7 VSS N+ N- OUT VM 2N OP OP2 COP COP2 VS 状态控制器 延迟时间定时器 0 IB 2 Vo 3 RR 4 RC RB R C 2OUT VC VR 9 COP3 封锁时间定时器 6 RR2 5 RC2 R2 C2 VRF/

三 原理框图 VDD 7 VSS N+ N- OUT VM 2N OP OP2 COP COP2 VS 状态控制器 延迟时间定时器 0 IB 2 Vo 3 RR 4 RC RB R C 2OUT VC VR 9 COP3 封锁时间定时器 6 RR2 5 RC2 R2 C2 VRF/ SPT 00 低功耗人体红外线感应信号处理器 SP 00 是为各种传感器配套设计的专用集成电路, 采用 CMOS 工艺制造 其外围器件大大减少, 节约了空间和成本及调试时间, 提高整机可靠性, 可广泛应用于照明控制 马达和电磁阀控制, 防盗报警等领域 一 特点 COMS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间定时器和封锁时间定时器,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

目 次 前言...III 1 范围 规范性引用文件 术语和定义 总则 测试环境要求 测试注意事项 静态参数测试 单端数字接口参数测试 输入高电平阈值电压 V TH 输入低电

目 次 前言...III 1 范围 规范性引用文件 术语和定义 总则 测试环境要求 测试注意事项 静态参数测试 单端数字接口参数测试 输入高电平阈值电压 V TH 输入低电 ICS 31.200 L 56 中华人民共和国国家标准 半导体集成电路低电压差分信号电路测试方法 Semiconductor intergrated circuits Measuring method of low voltage differential signaling circuitry ( 报批稿 ) GB/T XXXXX XXXX 2016 - XX - XX 发布 2016 - XX

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式] 模数转换器 中国科学技术大学精密机械与精密仪器系 1 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类

More information

OKI Semiconductor

OKI Semiconductor 低功耗人体红外线感应信号处理器 唐正电子研发的 是为各种传感器配套设计的专用集成电路, 采用 CMOS 工艺制造 其外围器件大大减少, 节约了空间和成本及调试时间, 提高整机可靠性, 可广泛应用于照明控制 马达和电磁阀控制, 防盗报警等领域 一 特点 COMS 数模混合专用集成电路, 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间定时器和封锁时间定时器,

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案... 12 Ⅵ. 参考书目...

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号

概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 第 4 章数字集成电路 4. 逻辑代数运算规则 4.2 逻辑函数的表示与化简 4.3 集成门电路 4.4 组合逻辑电路 4.5 集成触发器 4.6 时序逻辑电路 4.7 存储器 *4.8 可编程逻辑器件 (PLD) *4.9 应用举例 概述 电子信号 模拟信号 数字信号 模拟信号 : 在时间和数值上都连续变化的信号 数字信号 : 在时间和数值上都离散的信号 概述 集成电路是 6 年代初期发展起来的一种新型半导体器件

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

Microsoft Word - 64554-9.doc

Microsoft Word - 64554-9.doc 第 9 章 循 序 邏 輯 運 用 重 點 整 理 1. 計 數 器 為 將 脈 波 送 到 由 正 反 器 組 成 的 計 數 器 電 路 2. 每 個 正 反 器 的 輸 出 連 接 到 下 一 個 正 反 器 的 CK 端 以 推 動 下 一 個 正 反 器, 即 稱 為 漣 波 計 數 器, 為 非 同 步 計 數 器, 使 用 上 時 脈 頻 率 會 受 到 限 制 3. n 個 正 反

More information

K-848使用说明书

K-848使用说明书 K-848 光隔开关量输入输出接口卡使用说明书 (Ver 2.1 2008.09.02) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :483 第十讲时序逻辑 时序元件 ( 锁存器 ) 佟冬 Microprocessor &D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2fall 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 :

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information