ICN2028

Size: px
Start display at page:

Download "ICN2028"

Transcription

1 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B 显示的过程中可以再继续存入 16bit 串行数据, 相比通用恒流源芯片, 刷新率可以提高 50% 以上 DP5220B 内部采用了电流精确控制技术, 可使片 间误差低于 ±2.5%, 通道间误差低于 ±2.3% 特性 DP5220B 16 路恒流灌电流输出 输出电流设定范围 : 0.5~45mA@VDD=5V 0.5~25mA@VDD=3.3V 电流精度 DP5220B ( 最小值 ):60ns@VDD=5V I/O 施密特触发器触发输入最大数据传输频率 : 25MHz 芯片工作电压 :VDD=3.3~6V 工作温度范围 : -40~85 具有极佳的抗干扰能力和低灰度效果集成双缓存, 刷新率比通用恒流芯片提高 50% 以上通道内集成双向钳位保护电路, 能够有效减少灯珠 的损坏通道间 :±0.7%( 典型值 ) ±2.3%( 最大值 ) 芯片间 :±1.1%( 典型值 ) ±2.5%( 最大值 ) 快速输出电流响应 OE 封装 QSOP24-P

2 OE OE 低电平时, 打开 OUT0 ~ OUT15 22 SOUT 串行数据输出端 23 R-EXT 外挂电阻输入端, 可调节输出端恒流值 24 VDD 电源输入端 DP5220B 引脚说明 QSOP24-P DP5220B(QSOP24) Pin No. Pin 名称 功能 1 GND 接地端 2 SIN 串行数据输入端 3 CLK 时钟信号输入端, 上升沿采样数据 4 LE 锁存信号输入端 LE 高电平时, 数据传入锁存器 ;LE 低电平时, 数据被锁存 5~20 OUT0 ~ OUT15 高电平时, 关断 OUT0 ~ OUT15 恒流灌电流输出端 OE 21 OE 使能信号输入端 OE - 2 -

3 DP5220B 框图 OUT0 OUT1 OUT14 OUT15 REXT Output current adjuster VDD OE 16 bit output driver bit output register (reg2) 16 LE 16 bit output latch (latch1) GND 16 SIN CLK 16 bit shift register (reg1) SOUT - 3 -

4 I/O 等效电路 1. CLK, SIN 2. OE V DD OE GND 3. LE 4.SOUT V DD SLAT GND V DD SOUT GND 时序图 Note 1: 当 LE 引脚设定为 L, 锁存电路保持数据 ; 当 LE 引脚设定为 H, 则锁存电路不保持数据, 数据直接输出 当 OE 引脚为 L 时, OUT0 到 OUT15 输出引脚将变为 ON 和 OFF 以响应数据 ; 设定 OE 引脚为 H, 不论数据如何, 所有输出引脚将为 OFF - 4 -

5 DP5220B 提高刷新率的原理 通用恒流源驱动芯片数据传送及数据显示时序图 SIN Data(MSB) Data(LSB) Data(MSB) CLK LE Data(MSB) Data(LSB) OE Data(MSB) Data(LSB) 通用恒流芯片在数据传输及数据显示如上图所示, 数据传输及数据显示利用率低的原因 : 1. 当显示一个高位数据的时候, 数据显示的时间可能会比数据传输的时间大得多, 在数据显示多余的时间内不能进行数据传输 2. 当显示一个低位数据的时候, 数据显示的时间可能会比数据传输的时间小得多, 在数据传输多余的时间内不能进行数据显示 DP5220B 数据传送及数据显示时序图 SIN Data(A) Data(B) Data(C) Data(D) CLK LE latch1=data(a) Data(B) Data(C) OE 消影 reg2=data(a) 消影 Data(B) 消影 Data(C) DP5220B 数据传送及数据显示时序见上图所示,data(A) 和 data(c) 为高位数据,data(B) 和 data(d) 高低位数据 将显示数据高低位按时间进行组合, 使显示高位数据多余时间可以利用起来进行数据传送, 或者说利用传数据的时间来进行高位的显示, 将传数据和显示数据完美的配合起来, 可以有效的提高显示刷新率, 基本步骤如下 : 1. 当 data(a) 传送完成后, 在 LE 上产生一个 latch 信号, 锁存 data(a) 2. 完成 data(a) 锁存后, 由 1~>0, 寄存 data(a) 并显示 data(a) 3. 在显示 data(a) 的同时, 对 data(b) 进行传送 4. data(b) 传送完成后, 由 LE 产生 latch 信号, 锁存 data(b), 并接着传送 data(c) 5. 完成 data(a) 的显示后, 寄存 data(b) 并显示 data(b) 6. 完成 data(c) 的传送, 完成 data(b) 的显示 7. 寄存 data(c) 和传送 data(d),( 同步骤 1) - 5 -

6 真值表 CLK LE OE SIN OUT0 OUT7 OUT15 SOUT H L Dn Dn Dn-7 Dn-15 Dn-15 L L Dn+1 无变化 Dn-14 H L Dn+2 Dn+2 Dn-5 Dn-13 Dn-13 L Dn+3 Dn+2 Dn-5 Dn-13 Dn-13 H Dn+3 OFF Dn-13 最大工作范围 (Ta=25 ) 特性 符号 额定值 单位 电源电压 VDD 0~7.0 V 输出电流 IO 65 ma 输入电压 VIN -0.4~VDD+0.4 V 输出耐受电压 VOUT 11V 时钟频率 FCLK 30 MHz 接地端电流 IGND ma 消耗功耗 ( 印刷电路板上,25 ) DN-type PD 3.19 W 热阻抗 DN-type Rth(j-a) /W 工作温度 Topr -40 ~ 85 存储温度 Tstg -55 ~ 150 直流特性 (Ta=-40 ~85, 如不另外说明 ) 特性 符号 测试条件 最小值 典型值 最大值 单位 电源电压 VDD V ON 时的输出电压 VO(ON) OUTn V 高电平逻辑输入电压 VIH - 0.7*VDD - VDD V 低电平逻辑输入电压 VIL - GND - 0.3*VDD V SOUT 高电平输出电流 IOH VDD=5V ma SOUT 低电平输出电流 IOL VDD=5V ma 恒流输出 IO OUTn ma - 6 -

7 动态特性 (VDD=4.5~5.5V,Ta=-40 ~85, 如不另外说明 ) 特性 符号 测试电路 测试条件 最小值 典型值 最大值 单位 串行数据传输频率 FCLK MHz 时钟脉冲宽度 twclk 6 SCK=H 或者 L ns 锁存脉冲宽度 twle 6 LE=H ns 使能脉冲宽度 twoe 6 OE =H 或者 L, REXT=890Ω ns 保持时间 thold ns thold ns 建立时间 tsetup ns tsetup ns 最大时钟上升时间 tr ns 最大时钟下降时间 tf ns 电气特性 (VDD=4.5~5.5V,Ta=25, 如不另外说明 ) 特性 符号 测试 电路 测试条件最小值典型值最大值单位 高电平逻辑输出电压 VOH 1 IOH=-1mA, SOUT VDD VDD V 低电平逻辑输出电压 VOL 1 IOH=+1mA, SOUT V 高电平逻辑输入电流 IIH 2 VIN=VDD, OE, SIN, CLK μa 低电平逻辑输入电流 IIL 3 VIN=GND, LE, SIN, CLK μa IDD1 4 Rext= 未接,OUT off ma IDD2 4 Rext=1.24KΩ,OUT off ma 电源电流 IDD3 4 Rext=620Ω,OUT off ma IDD4 4 Rext=1.24KΩ,OUT on ma IDD5 4 Rext=620Ω,OUT on ma 恒流输出 IO1 5 IO2 5 VDD=5.0V, VO=1.0V, REXT=1.23kΩ ma VDD=5.0V, VO=1.0V, REXT=615Ω ma VDD=5.0V, VO=1.0V, 恒流误差 ΔIO 5 REXT=1.23 kω, - ±0.15 ±0.37 ma OUT0~ OUT15 VDD=4.5~5.5V, VO=1.0V, 恒流电源电压调节 %VDD 5 REXT=1.24 kω, - ±0.2 - %/V OUT0~ OUT15 VDD=5.0V, VO=1.0~3.0V, 恒流输出电压调节 %VOUT 5 REXT=1.24 kω, - ±0.1 %/V OUT0~ OUT15 上拉电阻 RUP 3 OE kω 下拉电阻 RDOWN 2 LE kω - 7 -

8 开关特性 (Ta=25,VDD=5.0V, 如不另外说明 ) 特性 符号 最典最测试单测试条件小型大电路位值值值 CLK- OUT0 tplh1 6 LE=H, OE =L LE- OUT0 tplh2 6 OE =L OE - OUT0 tplh3 6 LE=H 传输 CLK-OUT1 tphl1 6 LE=H, OE =L 延迟时间 LE-OUT1 tphl2 6 OE =L ns OE - OUT1 tphl3 6 LE=H CLK-SOUT tphl 输出端上升时间 tor 6 电压波形的 10~90% ns 输出端下降时间 tof 6 电压波形的 90~10% ns 测试电路 测试电路 1: 高电平逻辑输入电压 / 低电平逻辑输入电压 - 8 -

9 测试电路 2: 高电平逻辑输入电流 / 下拉电阻 测试电路 3: 低电平逻辑输入电流 / 上拉电阻 测试电路 4: 电源电流 - 9 -

10 测试电路 5: 恒流输出 / 输出 OFF 漏电流 / 恒流误差 恒流电源电压调节 / 恒流输出电压调节 测试电路 6: 开关特性

11 时序波形 1. CLK, SIN, SOUT twclk 2. CLK, SIN, LE, OE, OUT0 3. OUT0-11 -

12 应用信息 DP5220B 采用了精确电流驱动控制技术, 同一芯片的不同通道间, 不同芯片之间的电流差异极小 1) 通道间电流差异 <±1.3%, 芯片间的电流差异 <±2.5% 2) 具有不受负载端电压影响的电流输出特性, 如下图所示 输出电流将不随 LED 顺向电压 VF 的变化而变化 调节输出电流 DP5220B 通过外接电阻 Rext 来调节输出电流 (Iout), 计算公式为 : VR-EXT=1.232V; Iout=(VR-EXT/Rext)*

13 封装尺寸

14 产品订购信息 产品编号封装 ( 无铅环保 ) 重量 (mg) DP5220B QSOP 声明 : 深圳市德普微电子有限公司保留说明书的更改权, 恕不另行通知! 任何半导体产品在特定条件下都有一定的失效或发生故障的可能, 用户有责任在使用本公司产品进行系统设计和整机制造时遵守安全标准并采取安全措施, 以避免潜在失败风险及可能造成人身伤害或财产损失情况的发生! 我公司将竭诚为客户提供更优秀的产品!

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

×××芯片用户手册

×××芯片用户手册 LXY28162 用户手册 2008 年 07 月 V1.0 版权所有, 侵权必究深圳市灵芯宇科技有限公司第 1 页, 共 13 页 目录 1 芯片功能说明... 4 1.1 芯片主要功能特性... 5 1.2 芯片应用场合... 5 1.3 芯片基本结构描述... 5 2 芯片特性说明... 6 2.1 芯片的封装和引脚... 6 2.2 芯片最大极限值... 7 2.3 芯片电气特性 (VDD=5)...

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

Microsoft Word - CNSP-DD312-PRE.001.doc

Microsoft Word - CNSP-DD312-PRE.001.doc 版本 : PRE.001 出版日期 : 200/8/1 文件名称 总页数 : 14 : CNSP--PRE.001.doc 单通道大功率 LED 恒流驱动器 具错误侦测功能 单通道大功率 LED 恒流驱动器 具错误侦测功能 产品概述 是专为大功率 LED 应用所设计的恒流驱动器 芯片内含恒流产生电路, 可透过外挂电阻来设定输出恒流值 透过芯片的使能端可以控制输出通道的开关时间, 切换频率最高达一兆赫

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

Microsoft Word - SP-DM13G-A.002_CN.doc

Microsoft Word - SP-DM13G-A.002_CN.doc 版本 : A.002 发布日期 : 2008/11/10 文件名称 : SP-DM13G-A.002_CN.doc 总页数 : 26 位恒流 LED 驱动芯片 具错误侦测功能 位恒流 LED 驱动芯片 具错误侦测功能 DM13G 芯片概述 DM13G 是专为 LED 显示应用设计的沉入式恒流驱动芯片 内建移位寄存器, 数据锁存器, 以及恒流电路组件于硅 CMOS 芯片上 个输出通道的电流可由一外挂电阻调节

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

TONE RINGER

TONE RINGER 4A 700V N 沟道增强型场效应管 描述 SVF4N70F N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 特点 4A,700V,R

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Microsoft Word - SP-DM631-A.003.doc

Microsoft Word - SP-DM631-A.003.doc Version : A.003 Issue Date : 2008/05/12 File Name Total Pages : 24 : SP--A.003.doc 16-CHANNEL CONSTANT CURRENT LED DRIVER WITH PROGRAMMABLE PWM OUTPUTS 9-7F-1, Prosperity Road I, Science Based Industrial

More information

概述

概述 产品描述 DE1102 是一款 LED 线性恒流驱动芯片, 提供单通道恒定输出电流, 电流输出范围从 5~500mA, 电流数 值可以通过外接电阻 (RFB) 进行设定, 输出端可承受最大电压达 32V 芯片的工作电压范围为 3.3~5.5V 低至 0.3V 的电流设定电压以及 200mV 低饱和压降驱动级, 使得 DE1102 能够在宽驱动电压范围内提供稳定 的电流输出, 极大增强恒流灯条模组的级联能力

More information

DM117

DM117 Version : PRE.001 Issue Date : 2006/5/1 File Name : SP--PRE.001.doc Total Pages : 25 16-bit Constant Current LED Driver with Error Detection 16-bit Constant Current LED Driver with Error Detection General

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Microsoft Word - CNSP-DM137-PRE.003.doc

Microsoft Word - CNSP-DM137-PRE.003.doc 版本 : PRE.003 发布尔日期 : 2006/12/11 文件名称 总页数 : 24 : CNSP--PRE.003.doc 16 位 LED 恒流驱动芯片 具错误侦测功能 16 位 LED 恒流驱动芯片 具错误侦测功能 芯片概述 是专为 LED 显示应用而设计的沈入电流式恒流驱动芯片 内建移位缓存器, 数据锁存器, 以及恒流电路组件于硅 CM 芯片上 16 个输出通道的电流值可由一外挂电阻调整

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

MPW 项目简介

MPW 项目简介 特性描述 TM1814 是具有内控同步功能的四通道 LED 恒流可调的驱动 IC, 可由 MCU 输入一组恒流设置与 PWM 设置的数据到 TM1814 实现各式各样的显示, 当信号输入断开后实现同步固定七彩花样变化, 可防止芯片损坏导致的后续级联芯片不工作 芯片内部集成有 MCU 固化程序和单线数字接口 数据锁存器 LED 恒流驱动等电路 VDD 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管

More information

Microsoft Word - SP-DM412-A.003.doc

Microsoft Word - SP-DM412-A.003.doc Version : A.003 Issue Date : 2007/08/27 File Name : SP--A.003.doc Total Pages : 35 3-CHANNEL CONSTANT CURRENT LED DRIVERS WITH LED OPEN DETECTION 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074>

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074> LED 應 用 與 驅 動 方 式 點 晶 科 技 June 2008 大 綱 LED 簡 介 LED 混 色 原 理 LED 驅 動 方 式 LED 應 用 實 例 Q&A LED Light Emitting Diode (1) LED 是 利 用 電 能 直 接 轉 化 為 光 能 的 原 理, 在 半 導 體 內 正 負 極 2 個 端 子 施 加 電 壓, 當 電 流 通 過, 使 電 子

More information

Applications

Applications 概述 TC16714 内含电源钳位模块 信号解码模块 振荡模块 数据再生模块 内置恒流驱动电路等 其中数据接受 完本单元数据自动将后续数据整形输出, 保证数据串联传输过程中不衰减 TC16714 可通过芯片内置的电流增益调节功能设置电流 2.5mA~40mA,OUT R/G/B/W 各 32 个电流增益等级 ( 即 每个电流增益调节步径是 1.2mA) 特点 内置电源钳位模块, 外部电源电压 5~24V

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 Ver 1.1 16 位总线收发器 产品使用手册 产品型号 :B54LVT162245 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2018-4 1.1 2018-9 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 目录 一 产品概述... 1 1.1 产品特点... 1 1.2 产品用途及应用范围... 1 1.3 免责声明... 1

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

DS v0-Z

DS v0-Z FUJITSU SEMICONDUCTOR DATA SHEET DS501-00024-4v0-Z 铁电存储器 4 M (256 K 16) 位 MB85R4M2T 产品描述 MB85R4M2T 是一种 FRAM ( 铁电随机存取内存 ) 芯片, 由使用铁电工艺和硅栅 CMOS 工艺技术制造的 262,144 16 位非易失性存储单元构成 MB85R4M2T 无需备用电池即可保持数据, 这正是 SRAM

More information

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 Ver 1.1 辐射加固八位三态输出缓冲器 产品使用手册 产品型号 :B54LVC244RH 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2018-4 1.1 2018-9 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 目录 一 产品概述... 1 1.1 产品特点... 1 1.2 产品用途及应用范围... 1 1.3 免责声明...

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - TM1804_V1.3.doc

Microsoft Word - TM1804_V1.3.doc 特性描述 是三通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路, 内带 5V 稳压管 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 串接电阻, 工作电压支持 6V~24V 辉度调节电路,256

More information

Microsoft Word - TM1914_V1.1.doc

Microsoft Word - TM1914_V1.1.doc 特性描述 是单线三通道 LED 恒流驱动专用电路, 可通过双通道数字接口 ( F) 互相切换输入, 与 DO 口级联, 外部控制器只需单线即可对芯片进行控制 芯片内部集成有 MCU 单线双通道数字接口 数据锁存器 LED 恒流驱动 PWM 辉度控制等电路 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管 点光源等 LED 装饰类产品 本产品性能优良, 质量可靠 功能特点 采用功率 CMOS 工艺

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

一、 概述

一、 概述 一 概述 TM629C TM629C 是带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动 键盘扫描等电路 主要应用于冰箱 空调 家庭影院等产品的高段位显示屏驱动 二 特性说明 采用功率 CMOS 工艺 显示模式 5 段 8 位 键扫描 (8 it) 辉度调节电路 ( 占空比 8 级可调 ) 串行接口 (CLK,,) 振荡方式

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

Microsoft Word - 535X中文版 - 副本

Microsoft Word - 535X中文版 - 副本 BCT535xA/B 特点 : 高功率陶瓷加热控制器 高 SCR 脉冲触发电流 具有快速加热和快速热恢复的功能 外部调节可适应 MCH 加热板的不同 TCR 可在宽环境温度范围内工作 2.5 秒延迟关断 提供 11 种温度设置 BCT5351/3/5/7 具有自动断电功能 LCD 背光显示 LCD 显示屏呈现温度设置状态 双计量温度单位 ( 华氏 / 摄氏 ) 双电压操作 (120V/240V) SOP-24

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

Microsoft Word - PT4207_DSB_CH0.1.doc

Microsoft Word - PT4207_DSB_CH0.1.doc 概述 是一款高压降压式 LED 驱动控制芯片, 能适应从 18V 到 450V 的输入电压范围 采用革新的架构, 可实现在 85VAC~265VAC 通用交流输入范围可稳定可靠工作, 并保证系统的高效能 内置输入电压补偿功能极大改善了不同输入电压下 LED 电流稳定性 内置一个 350mA 开关, 并配备外部 MOS 开关驱动端口 对于 350mA 以下的应用无需外部 MOS 开关, 对于高于 350mA

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

MPW 项目简介

MPW 项目简介 12 通道 LED 驱动控制专用电路 特性描述 是 12 通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 芯片 外接串联电阻,

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

Microsoft Word - TM1629B_V1.1.doc

Microsoft Word - TM1629B_V1.1.doc 一 概述 是带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动 键盘扫描等电路 主要应用于冰箱 空调 家庭影院等产品的高段位显示屏驱动 二 特性说明 采用功率 CMOS 工艺 显示模式 4 段 8 位 键扫描 (8 2it) 辉度调节电路 ( 占空比 8 级可调 ) 串行接口 (,,) 振荡方式 :RC 振荡 (450KHz+5%)

More information

<49432C CFB5C1D0B6C0BFD8D6C6C6F7BCB0C6E4D3A6D3C32E696E6464>

<49432C CFB5C1D0B6C0BFD8D6C6C6F7BCB0C6E4D3A6D3C32E696E6464> Technology & Application ACT30 IC ACT30 Series IC discrete controller and its application 中图分类号 :TM57 文献标识码 :B 文章编号 :1606-7517(2009)05-8-103 ACT30 IC RCC Ring ing Choke Converter 1 1 RCC RCC BVceo ACT30

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃 Ver 1.2 辐射加固时钟驱动器 产品使用手册 产品型号 :B54AC2525RH 版本控制页 版本号 发布日期 更改章节 更改说明 备注 V1.0 2018.1.5 / / 初稿 V1.1 2018.4.19 / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V1.2 2018.9.18 6.4 增加 输出振铃抑制 6.5 增加

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

四 管脚功能说明 : 符号管脚名称管脚号说明 数据输出输入 26 在时钟上升沿输入串行数据, 从低位开始 在时钟下降沿输出串行数据, 从低位开始 输出时为 N 管开漏输出 时钟输入 27 在上升沿读取串行数据, 下降沿输出数据 片选输入 28 K1~K3 键扫信号输入 1~3 在下降沿初始化串行接口

四 管脚功能说明 : 符号管脚名称管脚号说明 数据输出输入 26 在时钟上升沿输入串行数据, 从低位开始 在时钟下降沿输出串行数据, 从低位开始 输出时为 N 管开漏输出 时钟输入 27 在上升沿读取串行数据, 下降沿输出数据 片选输入 28 K1~K3 键扫信号输入 1~3 在下降沿初始化串行接口 一 概述 是一种带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用 IC, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动 键盘扫描等电路 本产品质量可靠 稳定性好 抗干扰能力强 主要适用于家电设备 ( 智能热水器 微波炉 洗衣机 空调 电磁炉 ) 机顶盒 电子称 智能电表等数码管或 LED 显示设备 二 特性说明 采用 CMOS 工艺 显示模式 10 段 8 位 键扫描 (8

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

霍尔开关集成电路

霍尔开关集成电路 概述 是一款内置霍尔感应及输出单线圈驱动于一体的集成电路, 具有锁机保护和自启动功能, 广泛应用于各类大 小型单相直流马达 内置斩波放大器可以动态调整输入失调电压, 大大提高了磁场灵敏度 高灵敏度的霍尔感应块可以使其用于微型 CPU 冷却风扇以及各类鼓风机和直流风扇 它的工作电压范围宽, 持续工作电流达 300mA 特点 内置霍尔感应块及输出单线圈驱动 工作电压范围宽 :3.2V~18V 输出能力强

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information