本科学生毕业论文

Size: px
Start display at page:

Download "本科学生毕业论文"

Transcription

1 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路, 参见 Mis603 底板 其原理图如下所示 : 6.2 时序设计 在进行具体的串口设计之前, 先了解串口通信协议 通常串口的一次发送或接收由四个部分组成 : 起始位 S( 一般为逻辑 0 ) 数据位 D0~D7( 一般为 6 位 ~8 位之间可变, 数据低位在前 ) 校验位 ( 奇校验 偶检验或不需要校验位 ) 停止位( 通常为 1 位 1.5 位 2 位 ) 停止位必须为逻辑 1 在一次串口通信过程中, 数据接收与发送双方没有共享时钟, 因此, 双方必须协商好数据传输波特率 波特率即数据传输速率 根据双方协议好的传输速率, 接收端即可对发送端的数据进行采样 常见的波特率标准为 300bps,600bps,800bps,9600bps,19200bps 等 当然更块的速度意味着对采样的要求更高, 有可能误码率会逐渐提高 通常对串口进行数据采样, 采用更高频的时钟 这样做的目的是采用高频时钟来锁存低频时钟, 减少数据的误码率, 增加接收模块的自纠错能力 具体的工作流程为 : 发送端按照预先设定好的波特率, 发送起始位 (Start)+ 数据位 (data)+ 奇偶校验位 + 结束位 其中, 起始位为逻辑 0, 结束位为逻辑 1, 发送端在空闲状态为 1 发送数据包格式如下图所示 接收端通过检测电平 1 到 0 的跳变来确定一个数据包的开始 确定开始位接收完 成之后, 依次接收数据, 使用更高的采样时钟, 完成数据采集 接收完数据位后, 继续接收奇 偶校验位和停止位 串口的接收与发送, 其主要时序设计包括两个部分 :1 波特率的产生时序 ;2 数据传 官方论坛 : 第 105 共 262

2 输时序, 包括接收与发送 波特率产生时序设计 :FPGA 输入时钟 50Mhz, 为得到常用的波特率, 仍然采用计数分频来得到 BAUD_DIV=50_000000/ 波特率 其中采样中心点为发送或接收时钟的中心点, 即 BAUD_DIV_CAP=50_000000/(2* 波特率 ) 该部分在数据接收和发送部分均单独完成 数据接收模块 : 在设置好传输波特率的情况下, 根据串口传输时序, 进行解串 空闲状态时, 接收数据为逻辑高电平, 等待起始位逻辑低电平的到来 当起始位到达后, 由低位到高位, 依次采集 8 位数据, 并进行相应的解串, 存入临时寄存器 接收有效数据完成后, 判断结束位, 接收完毕 数据发送模块 : 设置发送使能信号和待发送的数据 通过计数器, 表示 10 个数据发送的周期 这 10 个数据, 依次为起始位 +8 位数据位 +1 位结束位, 实现数据位的逐个发送 本设计中, 采用 PC 机的串口调试助手, 发送数据位至 FPGA,FPGA 接收到数据位之后, 立即回传至 PC 机 具体的设计原理和代码思路, 在后续章节逐一介绍 6.3 程序源码 数据接收文件 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: 南京米联电子科技有限公司 // Engineer:Milinker_XU // WEB: // BBS: // Create Date: 20:12:50 09/12/2015 // Design Name: uart_rx_path // Module Name: uart_rx_path // Project Name: uart_top // Target Devices: XC6SLX16-FTG256/XC6SLX25-FTG256 Mis603 // Tool versions: ISE14.7 // Description: 串口数据接收路径 // Revision: V1.0 // Additional Comments: ////////////////////////////////////////////////////////////////////////////////// module uart_rx_path( input clk_i, input uart_rx_i, ); output [7:0] uart_rx_data_o, output uart_rx_done, output baud_bps_tb //for simulation parameter [12:0] BAUD_DIV = 13'd5208;// 波特率时钟,9600bps,50Mhz/9600=5208 parameter [12:0] BAUD_DIV_CAP = 13'd2604;// 波特率时钟中间采样点,50Mhz/9600/2=2604 官方论坛 : 第 106 共 262

3 reg [12:0] baud_div=0; // 波特率设置计数器 reg baud_bps=0; // 数据采样点信号 reg bps_start=0; // 波特率启动标志 if(baud_div==baud_div_cap) // 当波特率计数器计数到采样点时, 产生采样信号 baud_bps baud_bps<=1'b1; baud_div<=baud_div+1'b1; else if(baud_div<baud_div && bps_start)// 当波特率计数器启动时, 计数器累加 baud_div<=baud_div+1'b1; baud_bps<=0; else baud_bps<=0; baud_div<=0; reg [4:0] uart_rx_i_r=5'b11111; // 数据接收缓存器 uart_rx_i_r<={uart_rx_i_r[3:0],uart_rx_i}; // 数据接收缓存器, 当连续接收到五个低电平时, 即 uart_rx_int=0 时, 作为接收到起始信号 wire uart_rx_int=uart_rx_i_r[4] uart_rx_i_r[3] uart_rx_i_r[2] uart_rx_i_r[1] uart_rx_i_r[0]; reg [3:0] bit_num=0; reg uart_rx_done_r=0; reg state=1'b0; // 接收数据个数计数器 // 数据接收完成寄存器 reg [7:0] uart_rx_data_o_r0=0;// 数据接收过程中, 数据缓存器 reg [7:0] uart_rx_data_o_r1=0;// 数据接收完成, 数据寄存器 uart_rx_done_r<=1'b0; case(state) 官方论坛 : 第 107 共 262

4 1'b0 : if(!uart_rx_int)// 当连续接收到五个低电平时, 即 uart_rx_int=0 时, 作为接收到起始信号, 启动波特率时钟 bps_start<=1'b1; state<=1'b1; 1'b1 : if(baud_bps) // 每次等待波特率采样中心时, 接收数据, 放入数据缓存器中 bit_num<=bit_num+1'b1; if(bit_num<4'd9) // 接收 1bit 起始信号,8bit 有效信号,1bit 结束信号 uart_rx_data_o_r0[bit_num-1]<=uart_rx_i; else if(bit_num==4'd10) // 接收完成时候, 接收数据个数计数器清零, 产生接收完成标志位, 并将数据写入数据寄存器, 关闭波特率时候 bit_num<=0; uart_rx_done_r<=1'b1; uart_rx_data_o_r1<=uart_rx_data_o_r0; state<=1'b0;// 进入状态 0, 再次循环检测 bps_start<=0; default:; case assign baud_bps_tb=baud_bps;//for simulation assign uart_rx_data_o=uart_rx_data_o_r1; assign uart_rx_done=uart_rx_done_r; module 数据发送文件 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: 南京米联电子科技有限公司 // Engineer:Milinker_XU // WEB: // BBS: // Create Date: 20:18:50 09/12/2015 // Design Name: uart_tx_path // Module Name: uart_tx_path // Project Name: uart_top // Target Devices: XC6SLX16-FTG256/XC6SLX25-FTG256 Mis603 // Tool versions: ISE14.7 官方论坛 : 第 108 共 262

5 // Description: 串口数据发送路径 // Revision: V1.0 // Additional Comments: ////////////////////////////////////////////////////////////////////////////////// module uart_tx_path( input clk_i, input [7:0] uart_tx_data_i, input uart_tx_en_i, // 待发送数据 // 发送发送使能信号 ); output uart_tx_o parameter BAUD_DIV = 13'd5208;// 波特率时钟,9600bps,50Mhz/9600=5208, 波特率可调 parameter BAUD_DIV_CAP = 13'd2604;// 波特率时钟中间采样点,50Mhz/9600/2=2604, 波特率可调 reg [12:0] baud_div=0; // 波特率设置计数器 reg baud_bps=0; // 数据发送点信号, 高有效 reg [9:0] s_data=10'b ;// 待发送数据寄存器,1bit 起始信号 +8bit 有效信号 +1bit 结束信号 reg [3:0] bit_num=0; // 发送数据个数计数器 reg uart_s_flag=0; // 数据发送标志位 reg uart_tx_o_r=1; // 发送数据寄存器, 初始状态位高 if(baud_div==baud_div_cap) // 当波特率计数器计数到数据发送中点时, 产生采样信号 baud_bps, 用来发送数据 baud_bps<=1'b1; baud_div<=baud_div+1'b1; else if(baud_div<baud_div && uart_s_flag)// 数据发送标志位有效期间, 波特率计数器累加, 以产生波特率时钟 baud_div<=baud_div+1'b1; baud_bps<=0; else baud_bps<=0; baud_div<=0; 官方论坛 : 第 109 共 262

6 if(uart_tx_en_i) // 接收数据发送使能信号时, 产生数据发送标志信号 uart_s_flag<=1'b1; s_data<={1'b1,uart_tx_data_i,1'b0};// 待发送数据寄存器装填,1bit 起始信号 0+8bit 有效信号 +1bit 结束信号 else if(bit_num==4'd10) // 发送结束时候, 清楚发送标志信号, 并清楚待发送数据寄存器内部信号 uart_s_flag<=1'b0; s_data<=10'b1111_1111_11; if(uart_s_flag) // 发送有效时候 if(baud_bps)// 检测发送点信号 if(bit_num<=4'd9) uart_tx_o_r<=s_data[bit_num]; // 发送待发送寄存器内数据, 从低位到高位 bit_num<=bit_num+1'b1; else if(bit_num==4'd10) bit_num<=4'd0; else uart_tx_o_r<=1'b1; // 空闲状态时, 保持发送端位高电平, 以备发送时候产生低电平信号 bit_num<=0; assign uart_tx_o=uart_tx_o_r; 官方论坛 : 第 110 共 262

7 module 6.4 程序分析 接收端和发送端分别通过波特率启动信号和数据发送标志信号启动波特率时钟 串口接收数据路径, 当无数据接收是, 串口接收端 uart_rx_i 保持高电平 通过高频时钟采集 uart_rx_i 端信号, 当连续监测五个低电平时候, 表示有数据过来, 准备接收 此时, 启动接收波特率计数器, 一次接收 8 位有效数据 跳过 1bit 结束位后, 清除数据接收指示, 并将接收寄存器放入数据缓存器 uart_rx_data_o_r1 里面, 并关闭波特率计数器 串口发送数据路径, 在不发送数据时, 串口发送端口始终保持高电平 当数据待发送寄存器内装填数据完成, 且发送使能信号有效时, 先发送低电平, 再发送 8 位有效信号和 1 位结束位 同样, 发送开始之前, 启动波特率计数器, 发送结束后, 关闭波特率计数器 串口环路测试顶层设计, 接收数据完成后, 数据接收 8 位寄存器作为待发送数据, 当接收完成后, 接收完成信号作为发送使能信号, 立即开始发送已接收的数据 需要注意的是, 数据发送和接收波特率保持一致, 且接收数据和发送数据所用的时间保持一致, 接收完成立即发送, 否则会在 PC 串口调试助手接收到错误数据 本例子波特率设置为 9600bps, 连续测试时始终保持数据发送和接收正确, 工作稳定可靠 6.5 综合布线前仿真时序 为保证数据接收和发送的正确性, 分别对串口接收模块和串口发送模块, 进行了仿真 其对应的仿真测试文件, 参见工程文件夹里面的源文件 接收端仿真如下所示, 预先接收到低电平, 之后再接收 8 位有效信号, 接收寄存器得到 8bit 数据分别为 和 发送端仿真如下所示, 待发送数据为 , 当发送使能信号到来之后, 发送低电平 +8 位有 效信号 +1 位结束位 串口回路仿真, 进行此项仿真, 必须注意, 保持和接收发送文件中设置的波特率一致, 即在底层文件中, 我们设置波特率为 9600bps, 那么定义的 BAUD_DIV 和 BAUD_DIV_CAP 分别为 5208 和 2604 则仿真测试文件中, 每个数据写入的时间间隔为 5208*20= 具体请查看工程中的 Testbench 其仿真图如下所示, 从中可以看出, 接收数据和发送数据保持一致 官方论坛 : 第 111 共 262

8 6.6 Chipscope 在线逻辑分析仪仿真 选用 uart_rx_done_r 作为触发信号, 发送字符 a, 从 chipscope 抓取的信号可以看出,uart_rx_data_r1 接收到 ASCII 码为 61, 证明接收正确 Chipscope 在线仿真图如下所示 其他更为具体的信号分析, 不在一一介绍了, 希望大家自行实验 6.7 输出结果 为了更具体的说明接收和发送数据的正确性, 在顶层设计了串口回传实验 选用波特率为 9600bps, 通过大量测试, 发现该设计准确可靠, 暂未发现误码 实验结果如下 6.8 小结 本章对串口协议和其他的关于串口必要的知识, 并没有具体的详细描述 如果存在不理解的地 方, 请大家参考其他更为详细的串口书籍资料 串口在工业应用中是很频繁的, 也算是 FPGA 当中, 大家必须掌握的一个接口设计 官方论坛 : 第 112 共 262

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

姓名

姓名 红外线遥控系统 设计 至芯科技教研部 李昭 2017-6-20 至芯科技官网 : 至芯科技技术论坛 :www.fpgaw.com 至芯科技淘宝网址 : https://shop101836044.taobao.com/?spm=a230r.7195193.1997079 397.2.9gJ436 至芯科技腾讯课堂 : https://ke.qq.com/course/list/%e8%87%b3%e8%8a%af%e7%a7%91%e

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

勞動條件檢查執行重點(雲林)_1050323 [相容模式]

勞動條件檢查執行重點(雲林)_1050323 [相容模式] 勞 動 條 件 檢 查 執 行 重 點 主 講 : 雲 林 縣 政 府 勞 工 處 大 鋼 105 年 新 工 時 規 定 修 正 重 點 現 行 工 時 制 度 工 資 促 進 就 業 平 等 措 施 2 105 年 新 工 時 規 定 修 正 重 點 1. 原 雇 主 應 置 備 勞 工 簽 到 簿 或 出 勤 卡 之 規 定 修 正 為 出 勤 紀 錄 修 正 第 一 項 法 定 工 時 2.

More information

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板, 家 事 生 活 小 技 巧 髒 襪 子 清 洗 撇 步 手 套 法 雙 手 套 進 襪 子 裡, 像 洗 手 套 一 樣, 利 用 手 指 左 右 揉 搓, 將 難 洗 的 污 垢 洗 乾 淨 彈 珠 法 在 洗 衣 網 內, 放 入 襪 子 以 及 約 十 顆 左 右 的 彈 珠, 利 用 彈 珠 與 襪 子 碰 撞 之 間, 將 髒 汙 從 纖 維 之 中 揉 搓 出 來 醋 水 法 在 水 盆

More information

穨2000010.PDF

穨2000010.PDF -1- -2- -3- -4- -5- -6- -7- -8- -9- -10- 89 9 7 7:30 1 9 9 7:30~9:30 1 2 3 2 9 1112 7:30~9:30 2000 1 2 3 3 10 5 1 9 2 10 5-11- 10 6 3 10 26 4 10 7 7:00 4 10 11 12 110 10 14 7 211 11 4 7 312 12 12 31 2000

More information

Microsoft Word - 完全手冊-課程.doc

Microsoft Word - 完全手冊-課程.doc 課 程 鍋 爐 具 1. 黑 鍋 : 大 鍋 ( 煮 飯 用 ) 小 鍋 ( 煮 菜 用 ) 湯 鍋 鍋 炳 大 中 小 鍋 蓋 賓 士 盤 *5 調 味 杯 ( 分 別 裝 鹽 糖 油 醬 油 沙 拉 油 ) 鍋 炳 + 大 鍋 蓋 = 平 底 鍋 2. 泥 + 水 或 牙 膏, 塗 在 鍋 底 下 煮, 鍋 底 就 不 會 被 火 燒 焦 黑 帳 篷 1. 包 含 內 帳 外 帳 2 根 營 柱

More information

第一冊 第四章 分裂與再統一 班級 座號 姓吊

第一冊  第四章  分裂與再統一             班級    座號    姓吊 石 器 文 明 石 器 時 代 文 字 發 明 前 為, 文 字 發 明 以 後 進 入 第 三 冊 ( 第 1 章 從 史 前 到 春 秋 戰 國 ) 1. 遠 古 人 類 最 初 以 為 主 要 工 具, 考 古 學 家 把 這 個 時 代 稱 為 石 器 時 代 2. 又 根 據 石 器 製 作 方 式 的 不 同, 分 為 (1) 舊 石 器 時 代 -- (2) 新 石 器 時 代 --

More information

本科学生毕业论文

本科学生毕业论文 15.1 USB 固件源码分析 第十二章 SLAVE FIFO 流传输 SLAVE FIFOUSB 固件源码仍然采用上一章节源码 15.2 FPGA 固件源码分析 module USB_FPGA( input ifclk_i, inout [7:0] fdata_b, output reg [1:0] faddr_o, output reg slrd_o, output reg slwr_o, output

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

USR-TCP232-XX系列模块设置协议

USR-TCP232-XX系列模块设置协议 UART ETH E 系列模块设置协议 注意 : 通讯协议有两个版本, 针对 V4.2 固件版本之后的协议, 请看每段的标题 (E45 系列支持新版本的协议, 但只能在静态 IP 模式下 ) 新版本固件向前兼容, 如果不用到新功能 ( 独立 ID 和子网掩码 ), 依然可以用老协议进行操作 版本更新历史 V1: 版本建立 V3: 增加 USR-TCP-E,-400,-500 的串口设置命令 V4:

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Microsoft Word - ha0111t.doc

Microsoft Word - ha0111t.doc 文件编码 :HA0111S 简介 本范例用来实现 HT46RU66 中 UART 的接收功能,HT46RU66 将通过 UART 将接收到的资料在 LCD 上显示出来, 如果接收出错, 还可以显示错误状态 PA 口外接拨码开关, 用于设置 UART 的工作模式 硬件方块图 +,, EI F = O 6 : 4 : 0 6 " $ 4 7 $ $ 2 ) 7 ) 4 6. K? JE 5 J= JK

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 0 1 1 2 1

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

USR-K2-spec-V1.0.2.doc

USR-K2-spec-V1.0.2.doc 有人物联网 -- 超级网口系列 USR-K2 规格书 V1.0.2 济南有人物联网技术有限公司 有人在认真做事! 产品特性 采用 32 位 ARM 处理器, 实现 TTL 端口与有线以太网双向透明传输 DHCP 和 DNS 功能, 能够自动获取 IP 和域名解析 内置网页, 可参数配置和状态显示 通过网络升级固件, 改变以前繁杂的升级固件模式 超小体积, 网口大小, 接口, 网口座内建 1.5KV

More information

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数 目 录 1. KF1030a 无线模块 1 1.1 特性 1 1.2 技术规格 2 1.3 机械尺寸 3 1.4 模块引脚 3 1.5 电气特性 4 1.5.1 收发时序 4 2. 模块应用 5 3. 开发指南 11 3.1 KF1030a 开发工具 11 3.2 KF-Modem 工具 11 3.2.1 KF-Modem 主板 11 3.3 通信接口 12 3.3.1 RS-232( 两线 ) 12

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器 串口透传 前言 : 有了上面的基础, 接下来就可以打造无线串口功能了 实现平台 :WeBee CC2540 模块及功能底板 图 3.117 网蜂 CC2540 模块及功能底板实验现象 : 两台 PC 通过串口连接 CC2540, 通过设置好串口调试助手, 就可以相互收发信息 也可在一台 PC 利用两个串口实现这个功能 实验讲解 : 整个实验用到两个模块, 一个作为服务器 一个作为客户端, 重点为下面两个方向

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

USR-TCP232-S2规格书-V1.0.3

USR-TCP232-S2规格书-V1.0.3 有人物联网 TCP 模块系列 USR-TCP232-S2 规格书 V1.0.3 济南有人物联网技术有限公司 有人在认真做事! 产品特性 10/100Mbps 自适应以太网接口, 支持 AUTO-MDIX 网线交叉直连自动切换 工作模式可选择 TCP Server,TCP Client,UDP Client,UDP Server, HTTPD Client( 支持 GET 和 POST) 串口波特率从

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

1

1 ETH232WG 型 波仕卡 :RS-232/RS-485 标准 MODBUS 网关 实现 MODBUS TCP 与 RTU/ASCII 转换 波仕 ETH232WG 标准 MODBUS 网关实现以太网 MODBUS TCP 协议与串口 MODBUS RTU 协议 以太网 MODBUS TCP 协议与串口 MODBUS ASCII 协议之间的相互转换 ETH232WG 同时还是一个以太网 / 串口转换器

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

行业

行业 PCI-1762 PCI-1762 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4 PCI...2 1.1.5 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1 NC/NO(/)...3 2.2.2...4 2.2.2 ID...5 2.3...5 2.3.1...5 2.3.2...7 2.4...12 2.4.1...12

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

PROFINET到MODBUS协议网关

PROFINET到MODBUS协议网关 PROFINET 到 RS232/485 协议网关 PN-G- RS232/485 产品手册 216-2 目录 第一章 产品概述... 1 1.1 产品介绍... 1 1.2 产品特点... 3 1.3 技术指标... 3 第二章 产品外观 安装 启动... 5 2.1 产品布局... 5 2.2 产品安装... 6 2.3 外形尺寸... 7 2.4 PROFINET 总线接口连接器及安装...7

More information

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc 1 适用产品 :SM59R16A2 / SM59R08A2 2 IIC 使用概述 : 2.1 IIC module 硬件使用 SCL (clock) 及 SDA (data) 两条线 2.2 速度 :SCL 最高可达 400Kbps( 可由软件设定 SFR IICBR[2:0]) 2.3 IIC 可选择 master 或 slave 两种模式 2.4 提供中断 (RXIF, TXIF) 及两组控制地址使用

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9>

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9> SM1650B-8 八路开关量采集模块 说明书 网址 :http://www.sonbest.com 第 1 页共 11 页 概述 SM1650B-8 是开关量信号采集模块, 基于工业用 MODBUS-RTU 协议, 是针对各种工业现场的实际需求设计生产的, 集采集 处理等多种功能于一身的新型工业化模块 为便于工程组网及工业应用, 本模块采用工业广泛使用的 MODBUS-RTU 通讯协议, 支持二次开发,

More information

Microsoft Word - 32.doc

Microsoft Word - 32.doc 基于 Visual C++.NET 的照度信号串口通信 栗兆剑高岳白力 ( 北京理工大学信息科学技术学院光电工程系, 北京 100081) 摘要 : 本文以 PC 机与单片机串口通信实现数据采集为背景, 介绍了在 VC++.NET 环境下串口通信的实现以及上位 PC 机与下位单片机串行通信协议以及数据块的发送与接受过程, 同时给出了部分程序代码 关键词 :visual c++.net 串口通信照度中图分类号

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

STEP-MAX10 V2软件手册

STEP-MAX10 V2软件手册 小脚丫 STEP FPGA STEP 2016/12/7 目录 1. 概述... 2 2. 软件安装... 2 2.1 Quartus Prime 软件下载 :... 2 2.2 Quartus 安装步骤 :... 3 3. 创建第一个工程... 8 3.1 新建工程... 8 3.2 添加设计文件... 12 3.3 管脚约束... 14 3.4 FPGA 加载... 17 4. 仿真工具 Modelsim...

More information

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取将导致上次获取的 access_token 失效 接入方可以使用 AppID 和 AppSecret

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

USR-N510-spec.doc

USR-N510-spec.doc 有人物联网 --TCP 服务器系列 USR-N510 规格书 V1.0 济南有人物联网技术有限公司 有人在认真做事! 产品特性 全新 ARM 内核, 工业级工作温度范围, 精心优化的 TCP/IP 协议栈支持双 Sokcet 模式支持虚拟串口工作方式, 提供相应软件 (USR-VCOM) 支持静态 IP 地址或者 DHCP 自动获取 IP 地址, 并可以通过 UDP 广播协议查询网络内的设备支持 Modbus

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

大会资料上传1.PDF

大会资料上传1.PDF 2003 1 4 5 7 10 11 3 1 2003 1 1 2 3 4 5 6 2 2003 1 2001 3 2001 10 15 2002 10 14 2003 10 13 3 2003 1 4 2003 1 5 6 2003 1 2003 1 1 200MHz 7 2003 1 57,163 42,872.25 2 5,312 3 2,983 4 WTO 2,488 8 2003 1 5

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Microsoft Word - 033

Microsoft Word - 033 应用 RocketIO 实现光纤通讯需要解决的关键问题 吴志勇, 高世杰 ( 中国科学院长春光学精密机械与物理研究所吉林长春 130033) 摘要 : 应用 RocketIO 实现了高速的光纤通讯, 利用 FPGA 内部逻辑有效地解决了系统关于复位 参考时钟抖动 接收同步 数据自发自收等几个关键问题 实验证明 : 系统单通道传输速率可以达到 3.125Gbps, 误码率低于 10-12, 满足了光电探测设备对于传输带宽的需求

More information

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如下几个小节 : 5.1 W7500 串口简介 5.2 硬件设计 5.3 软件设计 5.4 下载验证 1 5.1 W7500 串口简介 串口作为 MCU

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

樣 的 深 不 管 是 怎 樣, 對 方 真 的 要 結 束 同 居 關 係, 真 的 都 會 好 好 講 清 楚 和 溝 通, 傷 害 是 一 定 會 有, 但 不 要 用 到 雙 方 像 敵 人 一 樣, 這 樣 對 雙 方 來 說, 有 什 麼 意 義 存 在 呢?! 因 為 彼 此 之 間

樣 的 深 不 管 是 怎 樣, 對 方 真 的 要 結 束 同 居 關 係, 真 的 都 會 好 好 講 清 楚 和 溝 通, 傷 害 是 一 定 會 有, 但 不 要 用 到 雙 方 像 敵 人 一 樣, 這 樣 對 雙 方 來 說, 有 什 麼 意 義 存 在 呢?! 因 為 彼 此 之 間 同 居 優 缺 點 分 析 優 異 學 生 作 業 觀 摩 班 級 : 企 管 三 美 學 號 :96409187 姓 名 : 顏 欣 儀 優 點 : 1. 彼 此 之 間 更 加 了 解 對 方 2. 可 以 更 了 解 彼 此 的 生 活 型 態 和 真 面 貌 3. 可 以 互 相 省 錢 ( 共 同 分 擔 家 計 ) 還 有 如 果 是 在 熱 戀 中 的 話, 那 一 定 是 每 天 某

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

串口通信模块说明书

串口通信模块说明书 目录 前言... 2 第一章概述... 3 第二章主要特点... 3 第三章管脚说明... 4 3.1 模块管脚图... 4 3.2 管脚功能说明... 4 第四章技术参数... 5 4.1 工作环境... 5 4.2 电源与功耗... 5 4.3 以太网接口规范... 5 4.4 串口参数... 5 4.5 出厂默认参数... 6 4.6 机械尺寸... 6 第五章软件使用说明... 7 5.1

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習

觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習 觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習 110 七 篇 以 至 善 心 創 作 133 八 篇 智 慧 善 法 151 九 篇 我 的 修

More information

跨領域學位學程

跨領域學位學程 大 葉 大 多 媒 體 位 內 容 位 程 課 程 習 地 圖 及 職 涯 進 路 地 圖 大 葉 大 多 媒 體 位 內 容 位 程 的 課 程 涵 蓋 基 礎 位 視 覺 設 計 2D/3D 動 畫 製 作 2D/3D 遊 戲 設 計 虛 擬 / 擴 增 實 境 設 計 及 位 習 等, 是 目 前 政 府 極 力 推 動 的 雙 星 產 業 之 一 生 可 自 由 選 位 內 容 相 關 課

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information