Siga-S16 FPGA开发板

Size: px
Start display at page:

Download "Siga-S16 FPGA开发板"

Transcription

1 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00

2 版本记录 版本 时间 作者 描述 Rev First Release 2

3 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口 配合开发板实现 RS 和 422 的数据远程传输和通信 RS 和 422 接口分别采用 MAX3232 MAX3485 和 MAX3490 芯片作为电平转换芯片 模块留有一个 40 针的排母用于连接开发板,RS232 接口为一个标准的 DB9 串口公座, 通过串口线直接连接电脑或者其他设备 ; RS485 和 RS422 接口采用接线端子跟外部连接, 超远距离传输可达上千米, 另外 RS485 和 RS422 接口部分带有正负 15KV 的 ESD 防护功能 AN3845 模块实物照片如下 : AN3845 通信模块正面图 3

4 1.1 AN3485 模块的参数说明 以下为 AN3485 通信模块的详细参数 : RS232 接口 一路标准的 DB9 公座串行接口 ; 使用 MAX3232 作为 RS232 和 TTL 电平的转换 ; 传输率高达 120Kbps 数据通讯速率 RS485 接口 两路 RS485 接口, 采用 3 线的接线端子 ; 使用 MAX3485 作为 RS485 和 TTL 的电平转换 ; 工业级设计, 抗干扰能力超强, 同时采用有效的防雷设计 ; 具有 120 欧匹配电阻, 插上跳线帽即可使能匹配电阻, 长距离传输时建议短接 支持多机通讯, 允许接在最多 128 个设备的总线上 传输率高达 500Kbps 数据通讯速率 RS422 接口 两路 RS422 接口, 采用 5 线的接线端子 ; 使用 MAX3490 作为 RS422 和 TTL 的电平转换 ; 工业级设计, 抗干扰能力超强, 同时采用有效的防雷设计 ; 具有 120 欧匹配电阻, 插上跳线帽即可使能匹配电阻, 长距离传输时建议短接 支持多机通讯, 允许接在最多 128 个设备的总线上 传输率高达 500Kbps 数据通讯速率 1.2 AN3485 模块尺寸 4

5 AN3485 通信模块尺寸图 第二部分模块功能说明 2.1 RS232 电路设计 AN3485 模块的 RS232 接口采用 MAX3232 芯片实现 RS232 和 +3.3V TTL 电平的转换 TTL 电平的串口接收和发送信号 (RXD, TXD) 连接到 40 针的连接器上跟外面的 FPGA 芯片或者 ARM 芯片实现串口通信 RS232 串口通信的最高速度为 120kbps,RS232 接口的原理设计图如下图所示 5

6 2.2 RS485 电路设计 AN3485 模块的 RS485 接口采用 MAX3485 芯片实现 RS485 和 +3.3V TTL 电平的转换 TTL 电平的 485 信号 (RXD, DE, TXD) 连接到 40 针的连接器上跟外面的 FPGA 芯片或者 ARM 芯片实现 485 数据通信, 其中 DE 信号用来控制 485 的传输方向 RS485 总线有 120 欧姆的端接电阻, 当 485 接口作为从设备时, 需要把跳线帽连接使能 120 欧姆的端接电阻 另外 RS485 的 A 和 B 总线上分别连接了 TVS 瞬态抑制二极管, 提供正负 15KV 的 ESD 防护功能 RS485 通信的最高速度为 500kbps,RS485 接口的原理设计图如下图所示 2.3 RS422 电路设计 AN3485 模块的 RS422 接口采用 MAX3490 芯片实现 RS422 和 +3.3V TTL 电平的转换 TTL 电平的 422 信号 (RXD, TXD) 连接到 40 针的连接器上跟外面的 FPGA 芯片或者 ARM 芯片实现 422 数据通信, 因为 RS422 传输是全双工的, 所以没有 DE 信号 RS422 发送总线 Z-Y 和接收总线 A-B 之间都预留了 120 欧姆的端接电阻, 用户使用时只要连接接收总线 (A-B) 的跳线帽, 使能 120 欧姆的终端匹配 另外 RS422 的接收和发送总线上分别连接了 TVS 瞬态抑制二极管, 提供正负 15KV 的 ESD 防护功能 RS422 通信的最高速度为 500kbps,RS422 接口的原理设计图如下图所示 6

7 2.4 模块 40 针排母的引脚分配 : 引脚号 引脚名称 备注 1 GND 地 2 +5V 5V 电源输入 _RXD1 第一路 RS485 数据接收 6 485_DE_1 第一路 RS485 发送使能 7 485_TXD1 第一路 RS485 数据发送 _RXD2 第二路 RS485 数据接收 _DE_2 第二路 RS485 发送使能 _TXD2 第二路 RS485 数据发送 RS232_RXD1 RS232 数据接收 18 RS232_TXD1 RS232 数据发送 _RXD2 第二路 RS422 数据接收 _TXD2 第二路 RS422 数据发送 _RXD1 第一路 RS422 数据接收 7

8 26 422_TXD1 第一路 RS422 数据发送 GND 地 38 GND 地 第三部分 RS232 通信程序 3.1 RS232 通信程序介绍 RS232 通信例程 (rs232_test) 的功能主要演示 FPGA 开发板和 AN3485 通信模块的串口接收和发送的功能, 用户需要准备一根 RS232 串口线 ( 直连线 ) 连接开发板到 PC 上进行串口的数据通信 在例程没有接收到 PC 机发来信息的时候,FPGA 程序会不断的通过 AN3485 的串口向 PC 机发送 Hello ALINX AN3485 的信息 当用户从 PC 机的串口工具里发送数据给 AN3485 通信模块到开发板时,FPGA 程序接收到数据后会把数据发回给 PC, 从而实现 Loopback 的功能 串口通信例程包含一个 TOP 程序 rs232_test.v 和四个子程序, 四个子程序分别为 RS232 发送程序 uarttx.v,rs232 接收程序 uartrx.v, 时钟产生程序 clkdiv.v 和 RS232 发送控制程序 uartctrl.v FPGA 程序的设计框图如下图所示 : 8

9 FPGA RS232_RXD RS232 接收程序 RS232 直连线 MAX3232 芯片 RS232_TXD RS232 发送程序 RS232 控制程序 时钟产生程序 下面我们来分别对每个程序做一下介绍 1. 时钟产生程序 clkdiv.v 用来产生 uart 接收和发送波特率为 9600bps 的时钟信号, 程序对 50Mhz 的系统时钟进行分频, 分频参数 326 计算如下 : 这里产生的时钟 clkout 为波特率的 16 倍, 假设数据的波特率为 p, 则这里的时钟 clkout 的频率为 16*p 以波特率 p 为 9600 为例, 系统时钟为 50MHz, 则分频系数为 /(16*9600) = 325.5, 取整为 326 clkout 时钟取 16 倍波特率的目的为了在 uart 接收的时候对每比特接收的数据有 16 个时钟采样, 取中间的采样值, 以保证采样不会滑码或误码 2. 串口发送程序 uarttx.v 控制串口的一个字节数据发送过程 当空闲状态, 串口的发送线路 (TX) 处于高电位 ; 当收到发送数据指令后, 拉低线路一个数据位的时间 T, 接着数据按低位到高位依次发送, 数据发送完毕后, 接着发送奇偶校验位和停止位 ( 停止位为高电位 ), 一帧数据 ( 一个字节 ) 发送结束 3. 串口接收程序 uartrx.v 控制串口的一个字节数据接收过程 当空闲状态, 串口的接收线路 (RX) 处于高电位 ; 当检测到线路 RX 的下降沿 ( 线路电位由高电位变为低电位 ) 时说明线路有数据传输, 按照约定的波特率从低位到高位接收数据, 数据接收完毕后, 接着接收并比较奇偶校验位是否正确, 如果正确则通知后续设备准备接收数据或存入缓存 由于 UART 是异步传输, 没有传输同步时钟 为了能保证数据传输的正确性, 9

10 UART 采用 16 倍数据波特率的时钟进行采样 每个数据有 16 个时钟采样, 取中 间 ( 第 8 个时钟 ) 的采样值, 以保证采样不会滑码或误码 一般 UART 一帧的数据 位数为 8, 这样即使每个数据有一个时钟的误差, 接收端也能正确地采样到数据 4. 串口发送控制程序 uartctrl.v 上电后程序不断的向串口发送 Hello ALINX AN3485 字符串, 如果串口有 接收到 PC 机发来的数据优先把接收到的数据发回给 PC 3.2 RS232 通信硬件连接 AN3485 模块和 FPGA 开发板的硬件连接很简单, 只要把 AN3485 模块的 40 针的母座 J1 插到 FPGA 开发板的扩展口上, 连接器的管脚 1 对齐就好了 以下为黑金 AX301 开发板的 J1 扩展口和 AN3485 通信模块的硬件连接图 ( 如果需要连接开发板 J2 扩展口, 管脚需要重新分配 ), 如果是其它开发板用户需要自己分配管脚 然后我们需要用直连串口线 ( 直连母头 ) 连接 AN3485 模块的 RS232 口和电脑的 RS232 接口 10

11 3.3 RS232 通信实验 开发板上电, 打开串口调试助手, 选择正确的 COM1 或者 COM2, 设置波 特率为 9600, 校验位设置为奇校验或偶校验, 停止位为 1 具体配置如下 : 下载程序后, 我们可以从显示窗口看到从 FPGA 不断发来的 Hello ALINX AN3845 信息 11

12 我们从串口调试助手发送 Hello world, 可以看到 FPGA 会立马回传同样 的信息给 PC 12

13 第四部分 RS485 通信程序 4.1 RS485 通信程序介绍 RS485 通信例程 (rs485_test) 的功能主要演示 AN3485 通信模块上的 RS485 的接收和发送的功能, 因为 AN3485 模块上有两个 RS485 接口, 本例程通过对此两个 485 总线的连接来实现 485 的数据通信 RS485 数据通信是单向的, 在同一个时刻只有一个接口作为数据发送方, 其它接口只能作为数据接收方, 所以 RS485 的数据通信需要协议要求 我们的历程中 RS485 数据的接收会判断指令的第一个字, 如果判断指令的第一个字为 'S', 表明是发送给自己的指令, 需要接收并把指令返回 如果指令的第一个字不为 'S', 指令被忽略, 指令以回车或者换行符结束 RS485 数据通信之前, 需要由 PC 机给 RS232 串口发送指令, 指令的第一个字为 'S', 后面带一段数据, 最好以回车或者换行符结束 FPGA 程序接收到串口指令后由从第 1 路 RS485 接口发送出去, 然后通过第 2 路的 RS485 接收,FPGA 程序判断 RS485 的接收指令, 如果指令的第一个字为 'S', 存储指令到 RAM 中, 直到接收到回车或者换行符结束 再同样把接收到的指令由从第 2 路 RS485 接口发送出去, 然后通过第 1 路的 RS485 接收 第 1 路 RS485 接收到的数据直接发送给 RS232 串口, 返回给电脑 实现 Loopback 的功能 RS485 通信例程包含一个 TOP 程序 rs485_test.v 和 5 个子程序,5 个子程序分别为 RS232 发送程序 uarttx.v,rs232 接收程序 uartrx.v, RS485 发送程序 rs485_tx.v,rs485 接收程序 rs485_rx.v, 和 RS485 控制程序 rs485_ctrl.v FPGA 程序的设计框图如下图所示 : FPGA RS232 芯片 RXD TXD RS232 接收程序 RS232 发送程序 RS485 发送程序 RS485 接送程序 485 芯片 接口 RAM IP RS485 控制程序 RS485 接送程序 485 芯片 接口 RS485 发送程序 下面我们来分别对每个程序做一下介绍,RS232 发送程序和 RS232 接收程序 13

14 我们已经在 RS232 通信程序里说明过, 不再介绍 1. RS485 发送程序 rs485_tx.v RS485 发送程序跟 RS232 的发送程序基本一样, 但 RS485 发送程序多一个发送使能控制信号 DE, 这个信号在 RS485 数据发送的时候需要置高, 其它的时候置低 2. RS485 接收程序 rs485_rx.v RS485 接收程序是跟 RS232 的接收程序是一样的 3. RS485 控制程序 rs485_ctrl.v RS485 控制程序程序判断 RS485 的接收指令, 如果接收指令的第一个字为 'S', 存储指令到 RAM 中, 直到接收到回车或者换行符结束一条指令的接收 如果接收到一条指令后, 会启动 RS485 发送程序, 把接收到的指令发送出去, 发送的长度为接收到的指令长度 4.2 RS485 通信硬件连接 首先需要把 RS485 第一通道和第二通道的 485 总线用线进行连接 (A 和 A 对接,B 和 B 对接,,GND 对 GND 对接,), 不然无法进行数据的通信 同样再把 AN3485 模块插到 AX301 FPGA 开发板的扩展口 (J1),RS232 接口用串口线跟电脑串口连接 硬件连接如下图所示 : 14

15 4.3 RS485 通信实验 开发板上电, 下载 rs485_test.sof 文件到 FPGA, 打开串口调试助手, 选择正确的 COM1 或者 COM2, 设置波特率为 9600, 校验位设置为奇校验或偶校验, 停止位为 1 然后串口发送命令, 以大写的 S 作为开始符, 后面跟一串数据, 以回车作为结束符 比如我们这里发送字符串 S ABCDEFGHIJK 回车 后, 串口能够接收到同样的字符串, 说明 RS485 数据通信正常 15

16 这里一定要注意, 命令需要大写的 'S' 开始, 回车或者换行符结束, 否则 RS485 通信是不成功的 第五部分 RS422 通信程序 RS422 的通信程序基本上跟 RS485 的例程是一样的, 只是 RS422 不需要 DE 信号, 这里我们不再对程序进行说明 另外实验方法也是跟 RS485 通信一样, 从电脑发送指令给 RS232 串口, 通过第一路的 RS422 发送, 第二路的 RS422 接收命令后, 再通过第二路 RS422 发送回第一路的 RS422, 第一路 RS422 接收到后命令后再返回给 RS232 串口到电脑 RS422 第一路和第二路之间的总线连接需要特别注意,A 和 B 为数据的 RS422 的数据接收,Y 和 Z 为 RS422 的数据发送 所以第一路的 A 和 B 需要跟第二路的 Y 和 Z 对连, 第一路的 Y 和 Z 需要跟第二路的 A 和 B 对连 下图为 MAX3490 芯片手册的连接示意图 16

17 AN3485 模块 2 路 RS422 数据通信的硬件连接图如下所示 (A 和 Y 相连, B 和 Z 相连, GND 和 GND 相连 ), 注意线不要接反 实验方法和现象大家参考 RS485 通信的章节 17

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

本科学生毕业论文

本科学生毕业论文 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数 目 录 1. KF1030a 无线模块 1 1.1 特性 1 1.2 技术规格 2 1.3 机械尺寸 3 1.4 模块引脚 3 1.5 电气特性 4 1.5.1 收发时序 4 2. 模块应用 5 3. 开发指南 11 3.1 KF1030a 开发工具 11 3.2 KF-Modem 工具 11 3.2.1 KF-Modem 主板 11 3.3 通信接口 12 3.3.1 RS-232( 两线 ) 12

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

Shenzhen RF-star Technology Co.,Ltd. RF-WM-10BNB1 用户使用手册 TEL: FAX: ADD: 深圳市宝安区宝源路互联网产业基地 A 区 8 栋 2 层 WEB:

Shenzhen RF-star Technology Co.,Ltd. RF-WM-10BNB1 用户使用手册 TEL: FAX: ADD: 深圳市宝安区宝源路互联网产业基地 A 区 8 栋 2 层 WEB: RF-WM-10BNB1 用户使用手册 目录 一 模块介绍... 3 1. 功能介绍... 3 2. 尺寸图... 4 3. 引脚图... 4 4. 引脚分配表... 5 二 模块操作... 6 1. 初始化参数... 6 2. 模块使用... 6 三 AT 命令... 9 1. 说明... 9 2. 命令表... 10 附录 : 版本记录... 15 一 模块介绍 图 1 RF-WM-10BNB1

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

1

1 ETH232WG 型 波仕卡 :RS-232/RS-485 标准 MODBUS 网关 实现 MODBUS TCP 与 RTU/ASCII 转换 波仕 ETH232WG 标准 MODBUS 网关实现以太网 MODBUS TCP 协议与串口 MODBUS RTU 协议 以太网 MODBUS TCP 协议与串口 MODBUS ASCII 协议之间的相互转换 ETH232WG 同时还是一个以太网 / 串口转换器

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温 爱 情 飞 过 苍 凉 / 作 者 :18758265241 1 红 色 格 子 的 旅 行 箱, 在 湿 漉 漉 地 上 发 出 刺 啦 刺 啦 的 声 音, 那 么 刺 耳, 就 像 是 此 刻 杜 晗 的 里 一 样, 烦 躁 而 不 安 就 这 样 走 出 来 了,18 年 禁 锢 自 己 的 地 方 就 在 身 后, 杜 晗 手 指 关 节 泛 白, 紧 紧 地 拉 着 旅 行 箱, 走

More information

USR-TCP232-S2规格书-V1.0.3

USR-TCP232-S2规格书-V1.0.3 有人物联网 TCP 模块系列 USR-TCP232-S2 规格书 V1.0.3 济南有人物联网技术有限公司 有人在认真做事! 产品特性 10/100Mbps 自适应以太网接口, 支持 AUTO-MDIX 网线交叉直连自动切换 工作模式可选择 TCP Server,TCP Client,UDP Client,UDP Server, HTTPD Client( 支持 GET 和 POST) 串口波特率从

More information

USR-K2-spec-V1.0.2.doc

USR-K2-spec-V1.0.2.doc 有人物联网 -- 超级网口系列 USR-K2 规格书 V1.0.2 济南有人物联网技术有限公司 有人在认真做事! 产品特性 采用 32 位 ARM 处理器, 实现 TTL 端口与有线以太网双向透明传输 DHCP 和 DNS 功能, 能够自动获取 IP 和域名解析 内置网页, 可参数配置和状态显示 通过网络升级固件, 改变以前繁杂的升级固件模式 超小体积, 网口大小, 接口, 网口座内建 1.5KV

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理 RS485 CAN Shield 用户手册 产品概述 RS485 CAN Shield 是为 NUCLEO/XNUCLEO 开发的一款的带 RS485 和 CAN 通信功能的扩展 板, 具备 RS485 CAN 通信功能 特点 : 基于 Arduino 标准接口设计, 兼容 UNO Leonardo NUCLEO XNUCLEO 开发板 具备 RS485 功能, 收发器为 MAX3485,3.3V

More information

Shenzhen RF-star Technology Co.,Ltd. RF-WM-11AMB1(11AFB1,10AFB1) 用户使用手册 TEL: FAX: ADD: 深圳市宝安区宝源路互联网产业基地 A 区 8 栋 2 层 WEB: w

Shenzhen RF-star Technology Co.,Ltd. RF-WM-11AMB1(11AFB1,10AFB1) 用户使用手册 TEL: FAX: ADD: 深圳市宝安区宝源路互联网产业基地 A 区 8 栋 2 层 WEB: w RF-WM-11AMB1(11AFB1,10AFB1) 用户使用手册 目录 一 模块介绍... 3 1. 功能介绍...3 2. 引脚图...4 二 模块操作... 9 1. 默认参数配置...9 2. 模块使用...9 三 AT 命令...12 1. 说明...12 2. 命令表...13 附录 : 版本记录... 18 一 模块介绍 1. 功能介绍 RF-WM-11AMB1 RF-WM-11AFB1

More information

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器 串口透传 前言 : 有了上面的基础, 接下来就可以打造无线串口功能了 实现平台 :WeBee CC2540 模块及功能底板 图 3.117 网蜂 CC2540 模块及功能底板实验现象 : 两台 PC 通过串口连接 CC2540, 通过设置好串口调试助手, 就可以相互收发信息 也可在一台 PC 利用两个串口实现这个功能 实验讲解 : 整个实验用到两个模块, 一个作为服务器 一个作为客户端, 重点为下面两个方向

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

Rev.1 ZL DUI 发布版本 所有权信息 未经版权所有者同意, 不得将本文档的全部或者部分以纸面或者电子文档的形式重新发布 本文档只用于辅助读者使用产品, 上海卓岚公司不对使用该文档中的信息而引起的损失或者错误负责 本文档 描述的产品和文本正在不断

Rev.1 ZL DUI 发布版本 所有权信息 未经版权所有者同意, 不得将本文档的全部或者部分以纸面或者电子文档的形式重新发布 本文档只用于辅助读者使用产品, 上海卓岚公司不对使用该文档中的信息而引起的损失或者错误负责 本文档 描述的产品和文本正在不断 ZLAN5200 串口服务器用户 手册 2 串口 RS232/485/422 转 TCP/IP 转化器 版权 2008 上海卓岚信息科技有限公司保留所有权力 ZL DUI 20111205.1.0 版权 2008 上海卓岚信息科技有限公司保留所有权力版本信息对该文档有如下的修改 : 日期版本号文档编号修改内容 修改记录 2011-12-5 Rev.1 ZL DUI 20111205.1.0 发布版本

More information

STR—6型微功率无线数传模块使用说明书

STR—6型微功率无线数传模块使用说明书 产品描述 : UT-433 是新一代的多信道高灵敏度嵌入式无线数传模块, 实现 1200-38400bpsUART 异步串行数 据的无线传输, 接收灵敏度高, 数据传输稳定 模块应用范围广, 体积小, 可方便嵌入各种系统或设备中使用 工作在 ISM 频段, 免申请频点 应用领域 : 无线传感器 智能家居 排队机系统 无线抄表 自动化数据采集 遥控 遥测 POS 系统, 资产管理 楼宇小区自动化与安防

More information

zxj

zxj 舟 办 通 报 第 8 期 中 共 舟 山 市 委 办 公 室 2016 年 4 月 29 日 按 : 现 将 周 江 勇 同 志 在 2016 年 4 月 28 日 在 全 市 两 学 一 做 专 题 党 课 暨 学 习 教 育 部 署 会 上 的 讲 话 予 以 印 发, 请 认 真 贯 彻 落 实 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育, 是 党 中 央

More information

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB 皖 青 创 建 2015 3 号 关 于 命 名 2014 年 度 省 青 年 文 明 号 省 青 年 文 明 号 标 兵 和 省 青 年 文 明 号 先 进 工 作 者 的 决 定 2014 年, 全 省 各 级 团 组 织 广 大 青 年 集 体 深 入 贯 彻 落 实 党 的 十 八 届 三 中 四 中 全 会 精 神, 紧 紧 围 绕 中 心 工 作, 广 泛 开 展 青 年 文 明 号 创

More information

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc 样例程序 :TwinCAN 模块使用 1. 简介本程序实现功能如下 : 利用 TwinCAN 模块的两个 CAN 节点 A B, 配置内部自带的 32 消息对象, 可修改各个对象的属性, 如传输方向 ( 发送 接收 ),ID,MASK, 隶属节点名及传输数据内容 由于 DAVE 生成的 CAN 相关代码会超过 KEIL uvision 软件评估版本的限制大小 因此需安装完整版本的 KEIL uvision

More information

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理 RS485 CAN Shield 用户手册 产品概述 RS485 CAN Shield 是为 NUCLEO/XNUCLEO 开发的一款的带 RS485 和 CAN 通信功能的扩展 板, 具备 RS485 CAN 通信功能 特点 : 基于 Arduino 标准接口设计, 兼容 UNO Leonardo NUCLEO XNUCLEO 开发板 具备 RS485 功能, 收发器为 MAX3485,3.3V

More information

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次 教 学 动 态 江 西 农 业 大 学 南 昌 商 学 院 教 务 部 主 办 2016 年 第 3 期 ( 总 第 45 期 ) 本 期 导 读 教 务 信 息 系 部 动 态 督 导 之 声 联 系 电 话 : 0791-83901432 电 子 邮 箱 :18251930216@163.com ( 本 期 共 印 18 份 2016 年 5 月 17 日 ) 教 务 信 息 教 学 日 常 运

More information

计算机网络与经济(二).doc

计算机网络与经济(二).doc 400................................. 3.COM 60... 4%... I 400 3.Com

More information

Microsoft PowerPoint - 2012?????????3 [Compatibility Mode]

Microsoft PowerPoint - 2012?????????3 [Compatibility Mode] 叶 师 傅 教 你 2012 龙 年 家 居 风 水 招 财 布 局 出 行 注 意 事 项 精 点 十 二 生 肖 龙 年 运 程 方 法 简 单 实 用 一 看 便 会 智 贤 庄 命 理 风 水 网 叶 沛 明 奇 门 遁 甲 为 你 运 筹 帷 幄 创 先 机 http://www.zhixianzhuangfs.com 简 介 古 人 有 学 识 奇 门 遁 能 把 天 下 论 之 说 法,

More information

派遣公司人力資源管理措施對派遣人員離職傾向之影響:

派遣公司人力資源管理措施對派遣人員離職傾向之影響: 96 李 公 達 (2014) 實 務 報 告 [ 稿 件 來 源 ]: 大 陸 地 區 [ 文 獻 引 用 ]: 李 公 達 (2014) 大 力 發 展 職 業 教 育 培 訓, 提 升 農 村 勞 動 者 轉 移 就 業 能 力 就 業 與 勞 動 關 係,4(1), 96 100 就 業 與 勞 動 關 係 季 刊 尊 重 人 的 無 限 潛 能 大 力 發 展 職 業 教 育 培 訓 提

More information

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58%

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58% 17 1 2012 2 Vol 17 No 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb 2012 CAN 150080 CAN CAN CAN SAE J1939 CAN CAN CAN CAN SAE J1939 TP23 A 1007-2683201201- 0068-06 A Design Method of CAN

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

CWT-3000 SMS DTU

CWT-3000 SMS DTU TT62 GPRS DTU 3.0 GPRS -------------------------------------------------------------------------------------------- TT62 GPRS DTU -----------------------------------------------------------------------

More information

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界面是图形化的, 参数设置相比于直接修改源代码更为直观 但是, 用户对所需资源进行配置之后, 经由 STM32CubeMX 生成的代码并不是直接可用, 还需要在 /*

More information

USR-TCP232-XX系列模块设置协议

USR-TCP232-XX系列模块设置协议 UART ETH E 系列模块设置协议 注意 : 通讯协议有两个版本, 针对 V4.2 固件版本之后的协议, 请看每段的标题 (E45 系列支持新版本的协议, 但只能在静态 IP 模式下 ) 新版本固件向前兼容, 如果不用到新功能 ( 独立 ID 和子网掩码 ), 依然可以用老协议进行操作 版本更新历史 V1: 版本建立 V3: 增加 USR-TCP-E,-400,-500 的串口设置命令 V4:

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

USR-N510-spec.doc

USR-N510-spec.doc 有人物联网 --TCP 服务器系列 USR-N510 规格书 V1.0 济南有人物联网技术有限公司 有人在认真做事! 产品特性 全新 ARM 内核, 工业级工作温度范围, 精心优化的 TCP/IP 协议栈支持双 Sokcet 模式支持虚拟串口工作方式, 提供相应软件 (USR-VCOM) 支持静态 IP 地址或者 DHCP 自动获取 IP 地址, 并可以通过 UDP 广播协议查询网络内的设备支持 Modbus

More information

RS232通讯

RS232通讯 RS232 通讯 内容一览 1. RS232 通讯的基本概念 2. RS232 通讯的特点 3. RS232 接口的不足 4. RS232 接口引脚的定义 5. 三菱各系统的通讯接口 6. 通讯时设置的参数 通讯的基本概念 计算机与计算机或计算机与终端之间的的信息交换称为通讯. 基本的通讯方式有并行通讯和串行通讯两种 一条信息的各位数据在一根数据信号线上被逐位按顺序传送的通讯方式称为串行通讯, 数据每一位数据都占据一个固定的时间长度

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒 彙 集 全 球 21 位 醫 生 的 經 驗 和 智 慧, 總 結 出 最 實 用 的 專 業 建 議, 這 些 都 是 最 值 得 你 牢 記 的 健 康 提 醒 top1. 不 是 每 個 人 都 適 合 做 近 視 矯 行 手 術, 除 非 你 在 手 術 前 已 經 持 續 穩 定 地 佩 戴 了 一 年 以 上 的 近 視 眼 鏡 或 者 隱 形 眼 鏡 如 果 你 時 摘 時 戴 眼 鏡,

More information

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关 房 地 产 中 介 服 务 : 仍 处 于 成 长 期, 市 场 空 间 巨 大 作 者 : 庞 增 华 房 地 产 中 介 服 务 业 内 的 企 业 包 括 依 法 设 立 并 具 备 房 地 产 中 介 资 格 的 房 地 产 顾 问 策 划 房 地 产 代 理 销 售 房 地 产 评 估 房 地 产 经 纪 等 中 介 服 务 机 构, 是 房 地 产 开 发 价 值 链 中 不 可 或 缺

More information

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TL +86-021-50273226 50807785 13816690692 FX:+86-021-50807785-807 167 -mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 1 高速同步通信... 2 1.1 SDLC-ETH 高速串口以太网转换器... 2 1.2 SDLC-PCIE 高速同步串口卡 --- PCI Express 总线接口卡... 5 1.3 SDLC-HCM 高速嵌入式通信模块... 7 2 HDLC 通信... 9 2.1 HDLC-ATC 空管数据通信服务器... 9 2.2 HDLC-ETH 串口以太网转换器 --- 双网口协议转换器...

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

?????????? M340

?????????? M340 Modicon M340 : 串行通讯 G 编辑通讯程序 F 串行端口设置 E 处理器内置接口 D 帧格式 C 数据链路层 B 物理层 A 串行通讯简介 M3 Serial line 2006/06/06 A 串行通讯简介 2 串行通讯接口 串行通讯接口可用于总线上各个设备的数据通讯 Modbus 通讯协议 字符串模式通讯 Modbus 在某些型号的 M340 处理器模块上集成了串行通讯接口 BMX

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9>

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9> SM1650B-8 八路开关量采集模块 说明书 网址 :http://www.sonbest.com 第 1 页共 11 页 概述 SM1650B-8 是开关量信号采集模块, 基于工业用 MODBUS-RTU 协议, 是针对各种工业现场的实际需求设计生产的, 集采集 处理等多种功能于一身的新型工业化模块 为便于工程组网及工业应用, 本模块采用工业广泛使用的 MODBUS-RTU 通讯协议, 支持二次开发,

More information

HHW-UART-S1800A

HHW-UART-S1800A HHW-UART-S1800A 型超远距离超远距离蓝牙串口蓝牙串口适配器 操作手册 联系电话 :+86-755-89565960 英文域名 :www.sz-hhw.com 中文域名 : 蓝牙产品. 中国第 1 页共 12 页 一 产品简介 HHW-UART-S1800A 型超远距离蓝牙串口适配器, 采用了世界上领先的蓝牙芯片供应商 CSR (Cambridge Silicon Radio) 公司的

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

Microsoft Word - 32.doc

Microsoft Word - 32.doc 基于 Visual C++.NET 的照度信号串口通信 栗兆剑高岳白力 ( 北京理工大学信息科学技术学院光电工程系, 北京 100081) 摘要 : 本文以 PC 机与单片机串口通信实现数据采集为背景, 介绍了在 VC++.NET 环境下串口通信的实现以及上位 PC 机与下位单片机串行通信协议以及数据块的发送与接受过程, 同时给出了部分程序代码 关键词 :visual c++.net 串口通信照度中图分类号

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63>

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63> 国 民 经 济 和 社 会 发 展 第 十 个 五 年 计 划 信 息 化 发 展 重 点 专 项 规 划 前 言 信 息 化 是 当 今 世 界 科 技 经 济 与 社 会 发 展 的 重 要 趋 势 信 息 技 术 已 广 泛 渗 透 到 经 济 和 社 会 的 各 个 领 域, 推 动 人 类 社 会 生 产 力 达 到 一 个 崭 新 的 高 度 全 球 信 息 化 开 创 了 世 界 经

More information

关于建立境内违法互联网站黑名单管理制度的通知

关于建立境内违法互联网站黑名单管理制度的通知 关 于 建 立 境 内 违 法 互 联 网 站 黑 名 单 管 理 制 度 的 通 知 各 省 自 治 区 直 辖 市 和 计 划 单 列 市 通 信 管 理 局 新 闻 办 教 育 厅 ( 教 委 ) 公 安 厅 ( 局 ) 国 家 安 全 厅 ( 局 ) 文 化 厅 ( 局 ) 卫 生 厅 ( 局 ) 工 商 行 政 管 理 局 广 播 影 视 局 新 闻 出 版 局 食 品 药 品 监 督 管

More information

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99 民 政 部 門 質 詢 第 13 組 質 詢 日 期 : 中 華 民 國 98 年 10 月 6 日 質 詢 對 象 : 民 政 部 門 有 關 各 單 位 質 詢 議 員 : 陳 嘉 銘 周 柏 雅 陳 碧 峰 李 文 英 顏 聖 冠 王 孝 維 洪 健 益 計 7 位 時 間 126 分 鐘 速 記 錄 98 年 10 月 6 日 速 記 : 何 采 穎 主 席 ( 李 議 員 慶 元 ): 現

More information

ABB Drives Modbus RMBA-01

ABB Drives Modbus RMBA-01 ABB Drives Modbus RMBA-01 Modbus RMBA-01 3ABD 00009819 REV A CN Based on:3afe 64498851 REV A EN PDM: 30005747 :2002 3 1 2002 ABB RMBA-01 Modbus! RMBA-01 iii iv RMBA-01 .........................................................

More information

CH9325DS

CH9325DS CH9328 中文手册 1 1 概述 串口转 HID 键盘芯片 CH9328 中文手册版本 :V1.4 http://wch.cn CH9328 是一款串口转 HID 键盘芯片, 在电脑上识别为标准的 USB HID 类键盘设备 CH9328 用于单向数据传输, 可以接收串口发送过来的数据 ( 如 ASCII 码 ), 并按照 HID 类键盘设备规范, 将数据打包成标准的键盘码值通过 USB 口上传给计算机

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

工业串口通信之如何使用 S 的 CM1241 模块 原创文章, 转载请注明出处 更多实用资料请登录方正智芯官网 : 作者 : 北岛李工工控行业的小伙伴们都知道以前在中低端 PLC 市场西门子有 S7-200 系列产品, 但是细心的你也许早就发现,S7

工业串口通信之如何使用 S 的 CM1241 模块 原创文章, 转载请注明出处 更多实用资料请登录方正智芯官网 :  作者 : 北岛李工工控行业的小伙伴们都知道以前在中低端 PLC 市场西门子有 S7-200 系列产品, 但是细心的你也许早就发现,S7 工业串口通信之如何使用 S7-1200 的 CM1241 模块 原创文章, 转载请注明出处 更多实用资料请登录方正智芯官网 :www.founderchip.com 作者 : 北岛李工工控行业的小伙伴们都知道以前在中低端 PLC 市场西门子有 S7-200 系列产品, 但是细心的你也许早就发现,S7-200 系列 PLC 在组态编程上都与 S7-300/400 系列有很大的不同 S7-300/400

More information

Tel: Fax: TEL FAX:

Tel: Fax: TEL FAX: TL +86-021-59539372 59532657 59539351 FX:+86-021-59539351. 25 -mail:science@2002s.com website:http://www.2002s.com SM30 atasheet (rev.1.0),2005-06-25 Page 1 of 11 SM30 SM30 1200bps 2400bps 4800bps 9600bps

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

串口透传

串口透传 GHOSTYU 谷雨 串口透传 基于 BLE 的双向透明传输 Ghostyu.com 2014/1/14 [ 在此处键入文档的摘要 摘要通常是对文档内容的简短总结 在此处键入文档的摘要 摘要通常是对文档内容的简短总结 ] 目录 1 前言... 2 2 必要条件... 2 3 文件预览... 2 4 源码包解压... 3 5 打开 IAR 工程... 4 5.1 主机工程... 4 5.2 从机工程...

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

untitled

untitled Answers for energy. - aplc) dplc aplc dplc - TCP/IP TCP/IP 2 24 khz 1000 khz 2 32 khz 256 kbit/s 32 khz 20/50/100 W X.212 RS2328 TCP/IP21 E1 (2 Mbit/s) G703.1 64 kbit/s VF (VFM, VFO, VFS), 8 RS2324 FSK

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 Spartan7 FPGA 核心板用户手册 AC7050 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 4 ( 三 ) 有源晶振... 6 ( 四 ) DDR3... 7 ( 五 ) QSPI Flash... 11 ( 六 ) LED 灯... 13 ( 七 ) JTAG 接口... 14 ( 八 ) 电源接口...

More information

CH340DS1.DOC

CH340DS1.DOC CH340 中文手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 中文手册版本 :1E http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口 USB 转 IrDA 红外或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册

More information

Microsoft Word - ZLAN5142.doc

Microsoft Word - ZLAN5142.doc ZLAN5142 Modbus 网 关用户手册 集成 Modbus TCP 转 Modbus RTU 的 RS232/485 转 TCP/IP 转化器 版权 2008 上海卓岚信息科技有限公司保留所有权力 ZL DUI 2012017.1.0 版权 2008 上海卓岚信息科技有限公司保留所有权力 版本信息 对该文档有如下的修改 : 修改记录 日期 版本号 文档编号 修改内容 2012-01-17 Rev.1

More information

USR-TCP232-T/-S/-D/-2/-24/-300/-200/-442产品说明书

USR-TCP232-T/-S/-D/-2/-24/-300/-200/-442产品说明书 ETH TO 232 (A) 用户手册 1. 规格参数 系统信息 处理器 Flash ARM 32K 字节 以太网 端口数 1 支持接口 UART (TTL 串口 ) 速率保护网络协议缓存 10/100 Mbps,MDI/MDIX 交叉直连自动切换 2KV 电磁隔离 IP,TCP,UDP,ARP,ICMP 发送 :2K 字节, 接收 :1K 字节 版本 :V3.3.1, 日期 :2016 年 8 月

More information

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 0 1 1 2 1

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

PROFINET到MODBUS协议网关

PROFINET到MODBUS协议网关 PROFINET 到 RS232/485 协议网关 PN-G- RS232/485 产品手册 216-2 目录 第一章 产品概述... 1 1.1 产品介绍... 1 1.2 产品特点... 3 1.3 技术指标... 3 第二章 产品外观 安装 启动... 5 2.1 产品布局... 5 2.2 产品安装... 6 2.3 外形尺寸... 7 2.4 PROFINET 总线接口连接器及安装...7

More information

Microsoft Word doc

Microsoft Word doc PAC3200 MODBUS RTU 通信使用入门 Getting Started PAC3200 MODBUS RTU communication Getting started Edition 20094 摘要 SENTRON PAC3200 是一种用于面板安装的仪表, 可用来计量 显示配电系统多达 50 个测量变量, 例如电压 电流 功率 有功功率 频率以及最大值 最小值和平均值 支持 PROFIBUS

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

USR-G781-规格书-V1.0.5

USR-G781-规格书-V1.0.5 有人物联网 --3G/4G 服务器系列 规格书 济南有人物联网技术有限公司 有人在认真做事! 产品特性 支持多个 4G 模块版本 :5 模 7 模 ; 支持 2 个网口, 可设置为 1LAN +1WAN, 或 2 LAN; 支持 APN 专网卡, 抽屉式 SIM 卡座 ; 支持 VPN(PPTP L2TP GRE IPSEC OPENVPN SSTP); DHCP, 静态 IP 等联网方式 ; 支持静态路由表管理,

More information

Microsoft Word - 0-滨江文本.doc

Microsoft Word - 0-滨江文本.doc 崇 明 县 规 划 设 计 院 2009 年 11 月 崇 明 县 规 划 设 计 院 规 划 设 计 证 书 编 号 :( 沪 ) 城 规 编 第 (052029) 规 划 设 计 证 书 等 级 : 乙 级 陈 家 镇 滨 江 休 闲 运 动 居 住 社 区 控 制 性 详 细 规 划 院 长 : 施 建 周 设 计 负 责 人 : 喻 梦 成 设 计 参 加 人 : 徐 国 彬 黄 祯 茂 审

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information