MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

Size: px
Start display at page:

Download "MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列"

Transcription

1 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 芯片系列 F2 系列 C31 系列 C32 系列 C33 系列 F41 系列 F42 系列 串口数量 芯片系列 FW42 系列 FE42 系列 FG43 系列 F43 系列 F44 系列 串口数量 对于没有硬件串口的芯片也可以实现软件串口, 这里先讲硬件串口, 后讲软件串口 然后再讲串口的链路实现 先看串口功能的实现 下图是 MSP430 系列芯片硬件串口的框图 在该框图中, 串口通讯由 3 部分构成 : 通讯速度的控制 ( 数据位流的产生 ) 接收控制部分

2 发送控制部分 波特率生成部分由时钟输入选择与分频 波特率发生器 调整器 波特率寄存器等组成 串行通信时, 接收与发送以什么样的速率将数据位收进或送出呢, 这个速率就由波特率生成构件控制 下图为其较为详细的结构 整个模块的时钟源来自内部 3 时钟或外部输入时钟, 由 SSEL1 SSEL0 选择, 以决定最终进入模块的时钟信号 BRCLK 的频率 时钟信号 BRCLK 送入一个 15 位的分频器, 通过一系列的硬件控制, 最终输出移出与移进两移位寄存器使用的移位位时钟 BITCLK 信号 那么这个信号 (BITCLK) 究竟是怎样产生的呢, 该图的下半部分的一个波特率产生例子可以看出, 是分频器在起作用 当计数器减计数到 0 时, 输出触发器翻转, 送给 BITCLK 信号 所以 BITCLK 信号周期的一半就是定时器 ( 分频计数器 ) 的定时时间 接收控制部分与发送控制部分分别由两个移位寄存器构成 接收时, 当接收到一个完整数据, 产生一个信号 (URXIFG0=1), 表示接收到完整数据, 可以将此数据取走 而在发送时, 当一个数据正在发送过程中,UTXIFG0=1, 此时, 不能再发送数据, 必须等当前数据发送完毕 (UTXIFG0 =0) 时, 方可继续发送 串口接收一般采用中断方式, 而发送数据则多采用主动方式 下面是一段简单的完整通讯程序, 实现功能 : 将接受的数据原样送回 #include <msp430x44x.h> void main(void)

3 WDTCTL = WDTPW + WDTHOLD; // 停止看门狗 UTCTL0 = SSEL0; // UCLK = ACLK, 选择时钟来源 UBR00 = 0x03; // 32k/ 波特率寄存器低字节 UBR10 = 0x00; // 32k/9600 波特率寄存器高字节 UMCTL0 = 0x51; // 由于波特率计算有余数, 填写波特率调整寄存器 UCTL0 = CHAR; // 数据格式为 8 位数据 ME1 = UTXE0 + URXE0; // 使能串口 TXD 与 RXD IE1 = URXIE0; // 让串口接收到数据后能产生中断 P2SEL = 0x30; // 定义 P2.4,P2.5 为串口功能引脚 P2DIR = 0x10; // 串口发送数据端口为输出, 接收数据端口为输入 _EINT(); // 整个系统使能中断 ( 开总中断 ) _BIS_SR(LPM3_bits); // 初始化完毕, 进入睡眠状态, 主程序完毕 interrupt[uart0rx_vector] void usart0_rx (void) while ((IFG1 & UTXIFG0) == 0); // 当发送缓存为空时 TXBUF0 = RXBUF0; // 发送数据到串口 而对于没有硬件串口的型号, 如何实现异步串口功能? 先分析异步串口的原理 下图是异步串口的时序图 可以看出异步串口由一根口线构成 : 数据线, 在数据发送时, 数据线严格按照其时序将数据移位送至数据线, 就可以了 图中的时钟是隐含的, 由波特率确定 比如串口波特率为 9600, 则时钟的周期为 1/9600 秒 在数据线上的数据按照 : 起始位 数据位 停止位等格式顺序排列 而起始位 数据位 停止位等的多少由通讯双方定义的通讯规约决定 这样在没有硬件串口的情况下, 完全可以模拟以上时序发送异步串行数据 下面的工作将完成上图的数据传送 首先产生波特率 下面的延时程序可以完成此工作, 延时时间为 1/9600 秒 ( 系统时钟为 1M 时的延时循环参数 ) void Delay_9600(void) unsigned int v=104; while(v!=0)v--; 以上的延时程序用于产生通讯位率 下面定义 P1.0 为通讯数据发送端,P2.0 为通讯数据接收端 则按照通讯规约的时序图, 每发送一位数据, 调用一次延时程序 :

4 调用以下程序之前已经进行了相应的端口方向设置 void send_byte(char in) // 输入变量为即将发送的数据 char I=0; // 定义一个循环变量, 循环发送 8 个数据位 P1OUT &= ~BIT0; // 发送起始位 for(i=0;i<8;i++) if(in&1) P1OUT = BIT0; else P1OUT &= ~BIT0; // 将数据位送到端口 in = in>>1; // 准备下位数据 // 位时钟 P1OUT &= ~BIT0; // 发送停止位 数据的接收可以使用中断的方式, 设置 P2.0 为设为输入, 并使能中断 当串行数据送达 P2.0 时, 该端口将产生中断, 在中断服务程序中, 顺序接受 10 位数据, 并去掉最先位 ( 起始位 ) 与最后位 ( 停止位 ), 再将中间 8 位组合成一个字节, 即为接收到的数据, 然后退出中断, 等待其他数据的接收 这里要注意接收每一位数据的采样点 可以将起始位采取不予理睬的办法, 如上图所示, 则第一位数据的采样位于进入中断后的 1.5 位时钟处 然后延时一个位时钟的时间, 再采样下一为数据 注意所有的采样点位于每一位数据的中间位置, 其原因很明显 : 在时间上可以最大程度地容错 具体的接收程序略 现在对于有无硬件串口, 都可以进行串口通讯了, 但这只能近距离 TTL 电平连接, 对于远距离呢, 必须使用对应的硬件电路实现通讯链路 常用的通讯链路有 RS232,RS485, 红外线等 所有通讯链路的实现都只是将通讯双方以一定的电气规约联系起来 RS232 链路可以将通讯双方在 15 米以内有效连接 RS232 规定逻辑电平 0 为 +3~+15V 电压, 逻辑电平 1 为 -15~-3V 电压, 通常以 MAX232 芯片实现电平转换, 具体电路如下图

5 如果要实现较远的通讯距离, 则通常选择 RS485 总线 RS485 通讯距离能达到 1.2Km, 使用双绞线, 但只能半双工通讯 ( 即不能同时发送与接收数据 ) 使用 RS485 可以方便进行单片机网络构成, 所有节点都挂在 RS485 总线上 RS485 总线使用差分电压, 具有很高的抗干扰能力 规定总线 A 高于 B0.2V 时为数据 1, 总线 B 高于 A0.2V 时为数据 0 下面是典型的 RS485 电路如下图所示 其中 P60J 为总线方向控制, 因为 MAX485 器件半办双工, 在同一时间只能是数据发送或数据接收, 所以需要控制器件所处的工作状态, 要么是发送数据, 要么是接收数据 前面两种通讯链路都是有线连接, 下面讲讲最常见的红外通讯链路 红外通讯是将红外线作为通讯的载体 下图是红外线调制原理, 当需要送处的信号为 1 时, 就将调制信号送出 ; 当要送出信号 0 时, 就不发送任何信号 下图是常用的红外线调制解调电路 常用的红外载波信号为 38K 的方波信号, 下图使用 NE555 产生 38K 方波信号 ; 而接收部分采用一体红外接收器件, 将红外接收管 放大电路 解调制等集成为一体, 给使用带来极大方便, 只需要一个输出上来电阻即可 红外通讯可实现近距离无线连接, 但不能绕过障碍物, 还有很多其他通讯链路, 这里不一一介绍

(3)ADC12Ó¦Ó÷¶Àý

(3)ADC12Ó¦Ó÷¶Àý MSP430 单片机入门应用系列例程 V1.0 (3)ADC12 应用范例策划 : 微控设计网 DC 例 3.1 ADC12- 使用外部参考电压 简述 : 使用单通进行 ADC 转换, 电压参考源来自外部 ADC12 的 Vr+=VeREF+,Vr-=Avss; Vr+ Vr- 是 ADC12 模块的最大值和最小值的参考电压源 当输入模拟电压信号等于或高于 Vr+ 时,ADC12 转换满幅输出, 此时输出值为

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Microsoft Word - ¹ØÓÚMSP430flash×ÔÉý¼¶µÄ³¢ÊÔ.doc

Microsoft Word - ¹ØÓÚMSP430flash×ÔÉý¼¶µÄ³¢ÊÔ.doc MSP430 程序自升级的实现原理及过程 更新 : 01 作者 : 乔海坤微控论坛特约 DC 微控论坛版主 在过去有很多公司或个人对于 MSP430 单片机串口自升级技术都当一个技术机密 而 TI 公布的是汇编语言编写的例子, 在理解上也不便 而在网络上也很难得到公开例程, 这样使得部分 MSP430 用户想实现这个功能时极为艰难 为此, 微控论坛和大家分享如何利用 MSP430 单片机串口自升级的实现实验

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

untitled

untitled 0571-89908088 89908091 www.lierda.com MSP430X11X2,MSP430X12X2 :1.8V-3.6V -:1MHz 2.2V 200 ua -:0.7 ua -(RAM ):0.1uA 6us 16, 125us -DCO -DCO - 32kHz - 16MHz - - 3 / 16 Timer_A 200ksps 10 A/D,,,. (USART0)(MSP430X12X2),

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

Microsoft Word - 430实验说明.doc

Microsoft Word - 430实验说明.doc 实验一 :LED 灯显示实验 实验电路 : led_one: 实验结果为实现 1 个灯闪烁 led_all_timer: 实验结果为控制 8 个 LED 灯同时闪烁 led_run: 实验结果为实现简单流水灯 led_run_timer: 实验结果为实现流水灯以三种流动方式和四种流动速度的不同组合而进行点亮 " 流动 " led_pwm: 实验结果为用从 P2.3 和 P2.4 输出的 PWM 波形驱动

More information

本科学生毕业论文

本科学生毕业论文 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路,

More information

绝密★启用前

绝密★启用前 绝 密 启 用 前 2010 年 普 通 高 等 学 校 招 生 全 国 统 一 考 试 ( 上 海 卷 ) 地 理 试 卷 一 选 择 题 ( 共 50 分, 每 小 题 2 分 每 小 题 只 有 一 个 正 确 答 案 ) ( 一 ) 城 市, 让 生 活 更 美 好, 城 市 空 间 结 构 与 城 市 职 能 自 然 环 境 密 切 相 关 1. 不 同 的 城 市 职 能 具 有 不 同

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

MSP430 Launchpad 指导书

MSP430 Launchpad 指导书 MSP430 Launchpad 指导书 徐珺 2013.12 Contents 第一部分第一个工程... 3 第二部分中断和计时器... 10 第三部分 UART... 16 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 在这一部分中, 我们将介绍 CCS 集成开发环境的一些基本 使用方法, 并试着编写一个简单的小程序, 实现按键打开 LED TI 的 Launchpad 板本身是完整的

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

Microsoft Word - MSP_430学习笔记.doc

Microsoft Word - MSP_430学习笔记.doc MSP430 学习笔记 (1) 这只是我在学习 TI 公司生产的 16 位超的功耗单片机 MSP430 的随笔, 希望能对其他朋友有所借鉴, 不对之处还请多指教 下面, 开始 430 之旅 讲解 430 的书现在也有很多了, 不过大多数都是详细说明底层硬件结构的, 看了不免有些空洞和枯燥, 我认为了解一个 MCU 的操作首先要对其基础特性有所了解, 然后再仔细研究各模块的功能 1. 首先你要知道 msp430

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

Microsoft Word - file[1].doc

Microsoft Word - file[1].doc 签 批 盖 章 胡 振 江 等 级 平 急 局 发 明 电 2015 213 号 关 于 下 发 2015 至 2016 年 度 民 用 航 空 器 维 修 人 员 执 照 考 试 执 考 委 任 代 表 名 单 的 通 知 各 地 区 管 理 局 各 航 空 公 司 各 维 修 单 位 : 依 据 关 于 修 订 民 用 航 空 器 维 修 人 员 执 照 考 试 执 考 委 任 代 表 管 理

More information

作业四:

作业四: 考试试题姓名 : 班级 : 分数 : 一 : 简述题 (1)MSP430F5xx 单片机的时钟系统中有哪几个时钟, 并分别讲述下它们的作用 (7) MSP430F5xx 单片机的时钟系统有 MCLK ACLK SMCLK MCLK 为主系统时钟, 主 要为 CPU 提供时钟 ACLK 为辅助系统时钟, 主要为低速的外围模块提供时钟 SMCLK 为子系统时钟, 主要为高速外围模块时钟 各种模块时钟的选择取决于系统对处理速度

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

Microsoft Word - 34.doc

Microsoft Word - 34.doc 基于 MSP430 单片机和 OLED 的现场通用显示系统设计 田鑫 1, 刘金涛 1, 张凯临 ( 1. 中国海洋大学信息科学与工程学院电子工程系山东青岛 266100 2. 中国海洋大学信息科学与工程学院海洋技术系山东青岛 266100) 2 摘要 : 针对自容式和手持式的现场仪器, 提出了基于有机发光显示屏 (OLED) 的通用全彩色显示系统设计 分析了 OLED 显示驱动芯片 SSD1332

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

2014年大学生村官考试公共基础知识:社会革命和社会改革

2014年大学生村官考试公共基础知识:社会革命和社会改革 2014 年 吉 林 省 招 募 三 支 一 扶 高 校 毕 业 生 计 划 实 施 公 告 根 据 省 人 社 厅 等 11 部 门 关 于 做 好 2014 年 高 校 毕 业 生 三 支 一 扶 计 划 实 施 工 作 的 通 知 ( 吉 人 社 联 字 2014 22 号 ) 精 神, 决 定 从 即 日 起 部 署 吉 林 省 2014 年 高 校 毕 业 生 三 支 一 扶 计 划 实

More information

朝陽科技大學八十八學年度招考碩士班簡章目錄

朝陽科技大學八十八學年度招考碩士班簡章目錄 24 24 1 1,400 2,400 27 2 3 (042332-3000 ( 7092~7094 http://www.cyut.edu.tw/~finance 18 28 (100 ( (40 (30% ( ( ( ( ( ( 1. 2. 3. 4. (30% ( 4 70 3. 70 4 (042332-3000 ( 7062~7063 http://www.ba.cyut.edu.tw

More information

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡 硬件检测电路原理 : Capitiactive Touch Pad 3 种常见电容检测电路介绍 :1.RC 检测, 基本趋于淘汰, 灵敏度低,2.RO 外部震荡, 外围需要一些电阻电容, 加大 PCB 体积,3.PinOsc with internal RO, 外部只需挂一个电容 RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

國立嘉義高中96學年度資優班語資班成班考國文科試題

國立嘉義高中96學年度資優班語資班成班考國文科試題 國 立 嘉 義 高 中 96 學 年 度 資 優 班 語 資 班 成 班 考 國 文 科 試 題 一 選 擇 題 (70%, 每 題 2 分 ) 1. 下 列 各 詞 中 的 字, 何 者 讀 音 正 確? ( 甲 ) 抽 搐 :ㄒㄩˋ ( 乙 ) 贗 品 :ㄧㄢˋ ( 丙 ) 內 訌 :ㄏㄨㄥˋ ( 丁 ) 鞭 笞 :ㄔ ( 戊 ) 烘 焙 :ㄅㄟˋ ( 己 ) 泥 淖 :ㄓㄠˇ ( 庚 ) 猝

More information

深圳市打通断头路三年行动计划

深圳市打通断头路三年行动计划 深 圳 市 清 除 断 头 三 年 行 动 计 划 及 2012 年 片 区 交 通 改 善 实 施 方 案 深 圳 市 交 通 运 输 委 员 会 二 〇 一 二 年 七 月 一 背 景 和 目 标 ( 一 ) 背 景 2012 年 深 圳 市 政 府 工 作 报 告 中 提 出 继 续 打 通 断 头 完 善 交 通 微 循 环 2012 年 3 月 2 日, 市 交 通 运 输 委 向 市 委

More information

<4D6963726F736F667420576F7264202D20CAAEC8FDCEE5BABDB5C0D6CEC0EDBDA8C9E8B9E6BBAEBBB7C6C02DBCF2B1BE>

<4D6963726F736F667420576F7264202D20CAAEC8FDCEE5BABDB5C0D6CEC0EDBDA8C9E8B9E6BBAEBBB7C6C02DBCF2B1BE> 长 江 干 线 十 三 五 航 道 治 理 建 设 规 划 环 境 影 响 报 告 书 ( 简 本 ) 委 托 单 位 : 长 江 航 道 局 评 价 单 位 : 中 交 第 二 航 务 工 程 勘 察 设 计 院 有 限 公 司 二 零 一 五 年 十 二 月 评 价 单 位 : 中 交 第 二 航 务 工 程 勘 察 设 计 院 有 限 公 司 公 司 经 理 ( 副 ): 周 用 华 公 司

More information

151 152 153 3.9km 2 39,558 17,214 22,344 23,462 1.7 2.3 1.1% 14.1% 69.4% 64.7% 2.5% 21.2%.3% 1.8% 9.5% 17.% 89.1% 8.6% 8.8km 2 41,799 18,767 23,32 18,884 2.2 36.1km 2 83,59 39,762 43,747 32,72.7% 6.5%

More information

地会字〔2014〕XXX号

地会字〔2014〕XXX号 中 国 地 质 学 会 文 件 地 会 字 2014 38 号 关 于 举 办 中 国 地 质 学 会 2014 年 全 国 青 少 年 地 学 夏 令 营 山 东 省 总 营 的 通 知 各 省 级 地 质 学 会 及 相 关 分 支 机 构, 在 京 各 常 务 理 事 单 位 : 中 国 地 质 学 会 按 照 每 两 年 举 办 一 次 全 国 青 少 年 地 学 夏 令 营 总 营 的 惯

More information

<4D6963726F736F667420576F7264202D203120B8A3BDA8CAA1BDBBCDA8D4CBCAE4CFB5CDB3A1B0C6BDB0B2BDBBCDA8A1B1B4B4BDA8BBEEB6AFCAB5CAA9B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D203120B8A3BDA8CAA1BDBBCDA8D4CBCAE4CFB5CDB3A1B0C6BDB0B2BDBBCDA8A1B1B4B4BDA8BBEEB6AFCAB5CAA9B7BDB0B82E646F63> 福 建 省 交 通 运 输 系 统 平 安 交 通 创 建 活 动 实 施 方 案 根 据 交 通 运 输 部 关 于 进 一 步 加 强 安 全 生 产 工 作 的 意 见 ( 交 安 监 发 2013 1 号 ) 和 交 通 运 输 系 统 平 安 交 通 创 建 活 动 实 施 方 案 ( 交 安 监 发 2013 116 号 ), 结 合 我 省 交 通 运 输 行 业 实 际 情 况, 制

More information

51 石 景 山 路 石 景 山 十 万 坪 人 行 横 道 灯 西 向 东 八 角 街 道 段 石 景 山 路 52 石 景 山 路 八 角 路 口 由 西 向 东 八 角 路 口 53 八 角 路 八 角 路 口 东 东 西 双 向 八 角 路 口 东 54 方 庄 路 八 里 河 路 口 北

51 石 景 山 路 石 景 山 十 万 坪 人 行 横 道 灯 西 向 东 八 角 街 道 段 石 景 山 路 52 石 景 山 路 八 角 路 口 由 西 向 东 八 角 路 口 53 八 角 路 八 角 路 口 东 东 西 双 向 八 角 路 口 东 54 方 庄 路 八 里 河 路 口 北 固 定 式 交 通 技 术 监 控 设 备 设 置 地 点 表 序 号 设 置 地 点 备 注 1 安 立 路 北 口 北 向 南 安 北 口 2 安 立 路 大 屯 路 交 叉 口 西 向 东 安 大 路 口 3 安 德 路 中 口 北 向 南 安 德 路 中 口 4 安 定 路 汽 车 交 易 市 场 东 侧 北 向 南 安 定 路 5 南 三 环 中 路 赵 公 口 桥 南 三 环 中 路 赵

More information

2.1 公 猪 的 引 入 2.1.1 公 猪 健 康 选 择 : 选 择 公 猪 时 必 须 考 虑 其 来 源, 引 进 外 来 公 猪 要 求 从 安 全 系 数 高 的 场 家 选 种 无 特 定 传 染 病, 至 少 半 年 年 确 定 为 无 疫 区, 经 过 抽 血 检 查 合 格 后

2.1 公 猪 的 引 入 2.1.1 公 猪 健 康 选 择 : 选 择 公 猪 时 必 须 考 虑 其 来 源, 引 进 外 来 公 猪 要 求 从 安 全 系 数 高 的 场 家 选 种 无 特 定 传 染 病, 至 少 半 年 年 确 定 为 无 疫 区, 经 过 抽 血 检 查 合 格 后 1. 场 外 人 工 授 精 站 建 立 要 求 猪 人 工 授 精 关 键 技 术 云 国 兵 段 国 臣 北 京 浩 邦 猪 人 工 授 精 服 务 有 限 责 任 公 司, 北 京,101300 1.1 场 址 选 择 要 求 : 交 通 便 利, 便 于 疾 病 控 制 1.2 场 内 建 设 要 求 : 场 内 建 设 分 为 : 生 产 区 隔 离 区 生 活 区 行 政 管 理 区 ;

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - 32.doc

Microsoft Word - 32.doc 基于 Visual C++.NET 的照度信号串口通信 栗兆剑高岳白力 ( 北京理工大学信息科学技术学院光电工程系, 北京 100081) 摘要 : 本文以 PC 机与单片机串口通信实现数据采集为背景, 介绍了在 VC++.NET 环境下串口通信的实现以及上位 PC 机与下位单片机串行通信协议以及数据块的发送与接受过程, 同时给出了部分程序代码 关键词 :visual c++.net 串口通信照度中图分类号

More information

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63>

<4D6963726F736F667420576F7264202D20B6C0AE78B0EDAABAC0B8A740B8D65FA7EBA7BAA54EA4E5BEC7ACE3A873C24FA55AA15E2E646F63> 黃 庭 堅 遷 謫 時 期 的 戲 作 詩 鍾 美 玲 高 苑 科 技 大 學 通 識 教 育 中 心 摘 要 受 北 宋 新 舊 黨 爭 的 影 響, 黃 庭 堅 於 紹 聖 元 年 責 授 涪 州 別 駕 黔 州 安 置, 從 此 展 開 一 連 串 遷 謫 的 命 運, 最 後 卒 於 遷 謫 地 宜 州 考 察 其 遷 謫 時 期 的 詩 歌, 有 許 多 以 戲 字 為 題 的 作 品,

More information

Microsoft Word - F5.docx

Microsoft Word - F5.docx 2 目錄 5A 5A 5A 5A 高慧冰 譚雅樂 余雅瑩 周子慧 劇本... P.4-P.5 奔跑人生... P.6 唐老師... P.7 唐老師... P.8 5B 5B 5B 5B 5B 5B 徐子盈 呂惠雅 黃智昭 熊雪瑩 鍾詠晴 吳博倫 敬愛的人... P.9 偶像... P.10 冬天... P.11 春夏秋冬... P.12 唐老師... P.13 安南讓決策從此變得簡單... P.14

More information

第十号 上市公司关联交易公告

第十号 上市公司关联交易公告 证 券 代 码 :600696 证 券 简 称 : 匹 凸 匹 编 号 : 临 2016-113 匹 凸 匹 金 融 信 息 服 务 ( 上 海 ) 股 份 有 限 公 司 关 于 出 售 匹 凸 匹 金 融 信 息 服 务 ( 深 圳 ) 有 限 公 司 100% 股 权 暨 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 不 存 在 任 何 虚 假 记

More information

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA

<4D F736F F D20C8CBB8A3D2BDD2A9BCAFCDC5B9C9B7DDB9ABCBBECFEACABDC8A8D2E6B1E4B6AFB1A8B8E6CAE9A3A8CEE4BABAB5B1B4FABFC6BCBCB2FAD2B5BCA 600079 369 369 2015 4 7 15 16 15 16 A 2014 ... 2... 3... 9... 11... 14... 15... 16... 17... 18... 19... 23... 24 1 / / 24.49% / / 2 1 2 369 3 4 5420100000024936 617806826-4 7 8 9 420101178068264 10 369

More information

Microsoft Word - 朗诵诵材.doc

Microsoft Word - 朗诵诵材.doc 2014 年 全 港 春 華 杯 普 通 話 朗 誦 及 拼 音 认 读 大 賽 朗 誦 誦 材 幼 稚 園 K1- 散 文 組 娃 娃 的 夢 花 兒 的 夢, 是 紅 的, 小 樹 的 夢, 是 綠 的, 露 珠 的 夢, 是 圓 的, 娃 娃 的 夢, 是 甜 的 幼 稚 園 K1- 兒 歌 組 小 白 兔 小 白 兔, 白 又 白, 兩 隻 耳 朵 豎 起 來, 愛 吃 蘿 蔔 和 青 菜,

More information

06-07周年報告template.PDF

06-07周年報告template.PDF 06 07 P.2 P.3 () P.4 P.5 () P.6 20062007 6 (55%) 1 (9%) 1 (9%) 1 (9%) 1 (9%) 1 (9%) (P.1,P.2 ) 5 6 6 0.5 0.5 0.5 / 0.5 P.7 P.8 0.5 0.5 2 1 6 5 2 1 6 5 (P.3P.6) 0.5 0.5 0.5 0.5 0.5 0.5 P.9 () 4 6 5 6 6

More information

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58%

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58% 17 1 2012 2 Vol 17 No 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb 2012 CAN 150080 CAN CAN CAN SAE J1939 CAN CAN CAN CAN SAE J1939 TP23 A 1007-2683201201- 0068-06 A Design Method of CAN

More information

Microsoft Word - MSP430F³£ÓÃÄ£¿éÓ¦ÓÃÔ�Àí.doc

Microsoft Word - MSP430F³£ÓÃÄ£¿éÓ¦ÓÃÔ�Àí.doc http://www.microcontrol.cn 微控设计网 中国 MSP430 单片机专业网站 MSP430F 常用模块应用原理 微控设计网版主 DC 策划原创于 :2006-3-7 最后更新 :2008-5-31 V8.2 为了更好地引导 MSP430 单片机爱好者的入门, 微控设计网为大家整理了一个份 MS430 单片机入门资料, 希望能够帮助到更多的国内单片机爱好者朋友 如果你在学习或应用

More information

MSP430µ¥Æ¬»úCÓïÑԺͻã±àÓïÑÔ»ìºÏ±à³Ì.doc

MSP430µ¥Æ¬»úCÓïÑԺͻã±àÓïÑÔ»ìºÏ±à³Ì.doc MSP430 单片机 C 语言和汇编语言混合编程 Mixing C and Assembler With the MSP430 刘玉宏 Liu,Yuhong 摘要 : 为了发挥 C 语言和汇编语言各自的优点, 二者需要相互调用函数 本文首先介绍了 MSP430 单片机的 C 语言函数的参数传递规则, 然后对 C 语言和汇编语言的混合编程进行了详细描述, 最后给出应用实例 关键字 :MSP430 单片机

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

USR-N510-spec.doc

USR-N510-spec.doc 有人物联网 --TCP 服务器系列 USR-N510 规格书 V1.0 济南有人物联网技术有限公司 有人在认真做事! 产品特性 全新 ARM 内核, 工业级工作温度范围, 精心优化的 TCP/IP 协议栈支持双 Sokcet 模式支持虚拟串口工作方式, 提供相应软件 (USR-VCOM) 支持静态 IP 地址或者 DHCP 自动获取 IP 地址, 并可以通过 UDP 广播协议查询网络内的设备支持 Modbus

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

USR-TCP232-S2规格书-V1.0.3

USR-TCP232-S2规格书-V1.0.3 有人物联网 TCP 模块系列 USR-TCP232-S2 规格书 V1.0.3 济南有人物联网技术有限公司 有人在认真做事! 产品特性 10/100Mbps 自适应以太网接口, 支持 AUTO-MDIX 网线交叉直连自动切换 工作模式可选择 TCP Server,TCP Client,UDP Client,UDP Server, HTTPD Client( 支持 GET 和 POST) 串口波特率从

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数 目 录 1. KF1030a 无线模块 1 1.1 特性 1 1.2 技术规格 2 1.3 机械尺寸 3 1.4 模块引脚 3 1.5 电气特性 4 1.5.1 收发时序 4 2. 模块应用 5 3. 开发指南 11 3.1 KF1030a 开发工具 11 3.2 KF-Modem 工具 11 3.2.1 KF-Modem 主板 11 3.3 通信接口 12 3.3.1 RS-232( 两线 ) 12

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

USR-K2-spec-V1.0.2.doc

USR-K2-spec-V1.0.2.doc 有人物联网 -- 超级网口系列 USR-K2 规格书 V1.0.2 济南有人物联网技术有限公司 有人在认真做事! 产品特性 采用 32 位 ARM 处理器, 实现 TTL 端口与有线以太网双向透明传输 DHCP 和 DNS 功能, 能够自动获取 IP 和域名解析 内置网页, 可参数配置和状态显示 通过网络升级固件, 改变以前繁杂的升级固件模式 超小体积, 网口大小, 接口, 网口座内建 1.5KV

More information

70 山东科学 2013 年 对于串口扩展, 常用的方法有硬件扩展和软件模拟 硬件扩展又分并口扩展和子串口扩展, 如 intel8251 用并口扩展 1 个全双工串口,TL16C554 用并口同时扩展 4 个全双工串口, 并口扩展需占用较多的端口资源和外部中断 ; 子串口扩展法则用 1 个高速串口扩

70 山东科学 2013 年 对于串口扩展, 常用的方法有硬件扩展和软件模拟 硬件扩展又分并口扩展和子串口扩展, 如 intel8251 用并口扩展 1 个全双工串口,TL16C554 用并口同时扩展 4 个全双工串口, 并口扩展需占用较多的端口资源和外部中断 ; 子串口扩展法则用 1 个高速串口扩 第 6卷 山东科学 SHANDONG SCI ENCE 第 期 3年 月出版 Vo 6No Feb 3 DOI 3 97 6 j n 4 6 3 CF 单片机串口扩展与通讯设计 朱思荣 周万里 毕春元 赵晓华 高广恒 刘仲汇 山东省科学院生物研究所 山东省生物传感器重点实验室 山东 济南 4 摘要 在发酵控制器设计中 应用 C F 单片机的 PCA模块 用软件摸拟法扩展出 个半双工串口 使用 MAX4

More information

! " # $ % & (( %) "*+,- &.(/-) & ( 0 & 1! % " % # % & & $ % "/()%!"# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // "*+,- &.(/-) & ( 0 & 1

!  # $ % & (( %) *+,- &.(/-) & ( 0 & 1! %  % # % & & $ % /()%!# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // *+,- &.(/-) & ( 0 & 1 !"#!!!!!!!!!!!!!!!!!!""! ! " # $ % & (( %) "*+,- &.(/-) & ( 0 & 1! % " % # % & & $ % "/()%!"# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // "*+,- &.(/-) & ( 0 & 1 2/.%3( 00 !!!! " # $ % &

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc 样例程序 :TwinCAN 模块使用 1. 简介本程序实现功能如下 : 利用 TwinCAN 模块的两个 CAN 节点 A B, 配置内部自带的 32 消息对象, 可修改各个对象的属性, 如传输方向 ( 发送 接收 ),ID,MASK, 隶属节点名及传输数据内容 由于 DAVE 生成的 CAN 相关代码会超过 KEIL uvision 软件评估版本的限制大小 因此需安装完整版本的 KEIL uvision

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器 串口透传 前言 : 有了上面的基础, 接下来就可以打造无线串口功能了 实现平台 :WeBee CC2540 模块及功能底板 图 3.117 网蜂 CC2540 模块及功能底板实验现象 : 两台 PC 通过串口连接 CC2540, 通过设置好串口调试助手, 就可以相互收发信息 也可在一台 PC 利用两个串口实现这个功能 实验讲解 : 整个实验用到两个模块, 一个作为服务器 一个作为客户端, 重点为下面两个方向

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

第11章 单片机串行通信与接口

第11章  单片机串行通信与接口 第 8 章单片机串行通信与接口...1 8.1 串行通信基础...1 8.1.1 串行通信的分类...1 8.1.2 串行通信的制式...3 8.2 MCS-51 的串行接口...3 8.2.1 MCS-51 串行口的结构...3 8.2.2 串行口的工作方式...4 8.2.3 串行口的通信波特率...6 8.2.4 双机通信...7 8.2.5 多机串行通信技术...11 8.3 串行通信的接口标准...19

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

RS232通讯

RS232通讯 RS232 通讯 内容一览 1. RS232 通讯的基本概念 2. RS232 通讯的特点 3. RS232 接口的不足 4. RS232 接口引脚的定义 5. 三菱各系统的通讯接口 6. 通讯时设置的参数 通讯的基本概念 计算机与计算机或计算机与终端之间的的信息交换称为通讯. 基本的通讯方式有并行通讯和串行通讯两种 一条信息的各位数据在一根数据信号线上被逐位按顺序传送的通讯方式称为串行通讯, 数据每一位数据都占据一个固定的时间长度

More information

Microsoft Word - 18

Microsoft Word - 18 第十八章 S D 卡寫檔實驗 18.1 實驗目的 18.2 實驗設備 18.3 實驗描述 18.4 接線步驟 18.5 程式碼 18.6 程式流程圖 18.7 實驗結果 18.1 實驗目的學習以 SPI 傳輸控制管理 IC 存取 SD Card 18.2 實驗設備電腦 x1 FETx1 18.3 實驗描述 a. ACLK = 32KHz, MCLK=SMCLK~=16M b. Set P10.1~P10.3

More information

PIC16F877的外围功能模块

PIC16F877的外围功能模块 第 1 章 PIC16F877 的外围功能模块 1.1.2 简单应用实例该例用于令与 PORTD 口相连的 8 个发光二极管前 4 个点亮, 后 4 个熄灭 在调试程序前, 应使与 PORTD 口相连的 8 位拔码开关拔向相应的位置 例 1.1 PORTD 输出 #include main() TRISD=0X00 /*TRISD 寄存器被赋值,PORTD 每一位都为输出 */ /*

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E MSP430C 延时程序设计 ( 为了阅读方便, 贴在下面 ) MSP430 是超低功耗 16 位单片机, 越来越受到电子工程师亲睐并得到广泛应用 C 程序直观, 可读性好, 易于移植和维护, 已被很多单片机编程人员所采用 MSP430 集成开发环境 ( 如 IAR Embedded Workbench 和 AQ430) 都集成了 C 编译器和 C 语言级调试器 C SPY 但是 C 语言难以实现精确延时,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 直通华为 HCNA/HCNP 系列 R 篇 3 路由器接口配置与管理 王达著名 IT 图书作者 博客地址 :http://blog.csdn.net/lycb_gz/ 机构名称 : 王达大讲堂 http://edu.csdn.net 同步方式下 Serial 接口配置与管理 参考教材 : 华为路由器学习指南 一 同步方式物理属性配置 配置同步方式下 Serial 接口, 包括配置同步方式下 Serial

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

STR—6型微功率无线数传模块使用说明书

STR—6型微功率无线数传模块使用说明书 产品描述 : UT-433 是新一代的多信道高灵敏度嵌入式无线数传模块, 实现 1200-38400bpsUART 异步串行数 据的无线传输, 接收灵敏度高, 数据传输稳定 模块应用范围广, 体积小, 可方便嵌入各种系统或设备中使用 工作在 ISM 频段, 免申请频点 应用领域 : 无线传感器 智能家居 排队机系统 无线抄表 自动化数据采集 遥控 遥测 POS 系统, 资产管理 楼宇小区自动化与安防

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378>

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378> 单片机原理与应用及 C51 程序设计 ( 第 3 版 )- 终稿 -New 勘误表 1. P18 页 11 行原来 : 汇编语言 机器语言 功能 MOV AL,01H 1011 0000 0000 0011B ; 把 01 送入累加器 A ADD AL,02H 0000 0100 0000 0101B ;02 与 A 中内容相加, 结 果存入 A HLT 11110100B ; 停止操作 汇编语言

More information

CH432DS1.DOC

CH432DS1.DOC CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A http://wch.cn CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet 本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet http://www.ti.com/lit/ds/symlink/tmp104.pdf TMP104 评估板用户手册 http://www.ti.com/lit/ug/sbou118/sbou118.pdf

More information

《哈佛考考你·智力》

《哈佛考考你·智力》 !! " " # $ $ "!%!% % #" #"! ! " #! #!! #$ #$ # %" %" % %& %& $ $! $!! ! " #$ #$ $ #% #%! && && (! (! ) %! %!! ! " "#! " $ "#! ""! $ "$% " $ "$% "& & "!$ " $ "!$ " # " " % " %! ! "#$ "#$ % "#& "#& "&# "&#

More information

EasyBuilder Pro 使用手冊

EasyBuilder Pro 使用手冊 1 1 28. 使用串行端口实现一机多屏功能 ( 主从模式 ) 本章节说明如何连接多台触摸屏接口并通讯 28. 使用串行端口实现一机多屏功能 ( 主从模式 )...1 28.1. 概要...2 28.2. 设定主机所使用的工程文件内容...2 28.3. 设定从机所使用的工程文件内容...3 28.4. 如何连结从机的 MT500 工程文件...5 28.4.1. EasyBuilder Pro 设定...5

More information

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir 在 CCSv5.1 中利用 MSP430 的 代码示例开发 MSP430 制作小组成员 : 刘阳电信 0905 蔡世滨电信 0905 谢超凡电信 0905 I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式

More information

é é é è H S H + E S + J ( 105 ) 2 2 2 ( 1 R S2. 1)( 1 R S. 4. 123)( 1 RS. 612345. ) 1 ( 1 R S1) 2 2 ( 1 R S7. 123456)( 1 R 81234567. ) é ê

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 10 章通用串口界面 Universal Serial Interface 版本 : 1.5 日期 : 2007.5. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 陈安都湖南长沙 - 中南大学编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限,

More information

) ) ) )-. ) ) / )-. )-. )-. -. : -/ -0 0/.. ; -.0 : 0 ).- ; 0 ).=? 2 2 ) / / ) - ; ) ; )/ :.0/10)/ / 34 ; )/ 10. ; / 0 )

) ) ) )-. ) ) / )-. )-. )-. -. : -/ -0 0/.. ; -.0 : 0 ).- ; 0 ).=? 2 2 ) / / ) - ; ) ; )/ :.0/10)/ / 34 ; )/ 10. ; / 0 ) ) ) ) - - / -. - 0 - - - - / -. / 0 3/ 0 / / - - / 123 / 123 - / -. / -. 4 ) / / -. / 9 A := - 0-3 4 4 123 4 567 / ) - 3 783 9-783 9 567 9-783 - 4 123 4 0 0 0 / / / B / -. / / B B / 0 5 -. :.. / ;< / ;

More information