Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 化繁为简 : 软件定义无线电设计的原型实现 阮卡佳 MathWorks 应用工程师 1

2 复杂的下一代无线系统 天线设计 RF 设计混合信号数字硬件 DSP 算法软件开发系统构架 至少七项 需要设计技能才能设计成功的产品! 2

3 系统设计常见挑战 数字信号处理复杂度不断增加 无线, 宽带, 半导体 产品上市时间压力 设计验证太晚 设计错误的风险, 影响上市时间 设计团队的合作 模拟 / 混合信号, 数字硬件,DSP, 嵌入式系统开发, 控制部分设计 3

4 工程师关心什么? 系统工程师和射频工程师 模拟系统性能, 然后才确认硬件 在原型和生产阶段可以灵活的测试和验证系统性能 算法工程师 设计并仿真新的无线电算法 在 FPGA 和 SoC 硬件实现设计原型 4

5 今天的 MATLAB 和 Simulink 可以帮您做什么? 仿真 端到端链路建模与仿真设计并验证无线系统 测试 Over-the-air 测试利用 SDR 设备和射频设备验证模型 实现 原型与实现将算法部署到 SDR 平台 设计一致性 : 从仿真 原型到实现 5

6 典型的 SDR 系统 模拟前端 Filters, Mixer, ADC/DAC 数字前端 Digital Filters, Sample Rate Conversion 基带处理 Modulation 商用 SDR 平台 FMC GigE 可调 RF 卡 ( 比如 :70 MHz to 6 GHz) FPGA 或 SoC 开发板 主机 6

7 SDR 硬件支持 Xilinx Zynq-Based Radio ZC706, ZedBoard, PicoZed ADI FMCOMMS1/2/3/4 Xilinx FPGA-Based Radio Virtex-6 ML605, Spartan-6 SP605 ADI FMCOMMS1, Epiq FMC-1Rx USRP Radio USRP2, N200/210 B200/B210, X300/310 E310 Analog Devices ADALM Pluto Radio RTL-SDR Radio 7

8 下载 SDR 支持包 从 MATLAB 菜单栏 : Add-Ons Get Hardware Support Packages 从 MATLAB 命令行窗口 >> supportpackageinstaller 8

9 自动 SDR 硬件设置 9

10 什么是 Zynq? ARM Processor Dual Core Cortex -A9 Interface FPGA Fabric 7-series fabric Zynq: 赛灵思公司推出的可扩展处理平台 全可编程片上系统 (SoC) ARM 处理器 + 可编程 FPGA 基于 Zynq 的 SDR 硬件平台 ZC706 / ZedBoard + FMCOMMS1/2/3/4 PicoZed SDR 10

11 测试 SDR Radio I/O 设计流程 - Over-the-air 测试 11

12 SDR Radio I/O 使用真实的无线电信号开发基带算法 射频收发器参数可调 轻松的开盒即用体验 RF I/O 数字信号流 AD9361 Zynq 数字前端基带处理测试与评估平台 SDR SoC 硬件 PC 端设计和仿真 12

13 Zynq-SDR Radio I/O 接口模块 (Simulink) f RF f s f RF f s RF 中心频率 (70MHz to 6GHz) 采样频率 ( 上至 61.44MHz) 可调增益,Burst 模式 13

14 Zynq-SDR Radio I/O 接口系统对象 (MATLAB) Radio IO System Object f s f RF comm.sdrrxpicozedsdr comm.sdrtxpicozedsdr comm.sdrrxzc706fmc234 comm.sdrtxzc706fmc234 comm.sdrrxzedboardfmc234 comm.sdrtxzedboardfmc234 访问 MATLAB 帮助文档, 了解 Zynq System Object. f RF f s RF 中心频率 (70MHz to 6GHz) 采样频率 ( 上至 61.44MHz) 可调增益,Burst 模式 14

15 SDR 波形重复发射功能 Repeated Waveform Transmitter 模式 参考信号由 MATLAB 预生成 存储于 SDR 硬件, 并由发送天线重复 连续发送 符合标准的 LTE WLAN 测试波形 基带信号生成 射频信号发生器 & LTE System Toolbox WLAN System Toolbox HW Support Package transmitrepeat Over the - Air SDR platform 15

16 Demo: LTE 发射分集 - Zynq (Zedboard) 和 AD9361 (2x2) 使用一个或两个天线, 利用 Repeated Waveform Transmission 模式发送图片 使用一个或两个天线, 解调信号 显示图像并且显示传输统计结果 (BER 和 EVM) Available here 16

17 LTE 物理层处理链 & LTE System Toolbox LTE Transmitter Propagation channel LTE Receiver channel coding TRANSMITTER scrambling & modulation synch. layer mapper OFDM demodulation OFDM demodulation Over precoding the air channel estimation channel estimation resource mapper resource mapper OFDM modulation OFDM modulation RECEIVER equalisation equalisation decode 17

18 Demo: LTE 发射分集 - Zynq (Zedboard) 和 AD9361 (2x2) 使用一个或两个天线, 使用 Repeated Waveform Transmission 模式发送图片 使用一个或两个天线, 解调信号 显示图像并且显示传输统计结果 (BER 和 EVM) Available here 18

19 Demo: LTE 发射分集 - Zynq (Zedboard) 和 AD9361 (2x2) 使用一个或两个天线, 使用 Repeated Waveform Transmission 模式发送图片 使用一个或两个天线, 解调信号 显示图像并且显示传输统计结果 (BER 和 EVM) Available here 19

20 Demo: LTE 发射分集 - Zynq (Zedboard) 和 AD9361 (2x2) 使用一个或两个天线, 使用 Repeated Waveform Transmission 模式发送图片 使用一个或两个天线, 解调信号 显示图像并且显示传输统计结果 (BER 和 EVM) Available here 20

21 Demo: LTE 发射分集 - Zynq (Zedboard) 和 AD9361 (2x2) 使用一个或两个天线, 使用 Repeated Waveform Transmission 模式发送图片 使用一个或两个天线, 解调信号 显示图像并且显示传输统计结果 (BER 和 EVM) Available here 21

22 Demo: Zynq (Zedboard) 和 AD9361 (2x2) 22

23 SDR Radio I/O 设计流程小结 利用 Zynq SDR Support from Communications System Toolbox, 你可以直接将 AD9361 的数据传输到 MATLAB 和 Simulink 中 支持包还可以实现收发器的参数控制 SDR 硬件作为 over-the-air 信号捕捉或者传输的射频前端 在 PC 端, 使用 MATLAB/Simulink 搭建快速验证平台 真实的模型信号作为输入激励 / 生成输出 LTE WLAN 标准无线电波形 Zynq 上没有代码运行 基带算法在 MATLAB 和 Simulink 上实现 Zynq 的 ARM 处理器打包数据, 并通过以太网传输 24

24 SDR HW/SW Co-design 设计流程 - 基于 SoC 硬件的原型实现 25

25 如果你的 SDR 设计原型需要 FPGA 和 ARM? 我们如何 : 将设计部署到 SoC? MATLAB/Simulink Algorithm Design 划分 FPGA 硬件设计和 ARM 软件设计? 设计 FPGA 和 ARM 接口? 设计 SoC 硬件与射频卡接口? 开发 ARM/FPGA 算法? FPGA MCU SoC ASIC DSP FPGA s ASIC 26

26 SDR HW/SW Co-design SDR 设计系统级部署与实现 实时无线电信号验证与测试 HDL 和 C 代码自动生成 RF I/O 数字信号流 AD9361 数字前端 FPGA Zynq 基带处理 ARM 测试与评估平台 SDR SoC 硬件 PC 端设计与仿真 27

27 基于模型设计 : - 高级 SoC 设计流程 系统级设计与仿真, 用户定义分区 系统仿真 Algorithm C Model Algorithm HDL Model 算法实现 MathWorks 通过 FPGA 工具实现编译和下载自动化 集成和部署 ARM Reference Design Algorithm C Code Algorithm C Code Algorithm HDL Code Algorithm HDL Code FPGA Reference Design MathWorks 自动生成代码和接口模型 Verification (PIL) 实时参数调优和验证 28

28 自动代码生成 Embedded Coder: 优化的 C/C++ 代码 C 适用于 MCU 和 DSP ( 定点 浮点支持 ) 验证 Embedded Coder C++ SIL PIL 仿真 代码生成 HDL Coder VHDL HDL Coder: 目标独立的可综合 HDL 代码 Verilog 适用于 ASIC 和 FPGA ( 定点 浮点支持 ) 生成 HDL Testbench,FIL 仿真 ModelSim and Incisive* 联合仿真 集成的 Xilinx and Altera 综合工具接口 * HDL Verifier 支持 co-simulation 和 FPGA-in-the-loop verification 29

29 Demo: QPSK 收发器设计的 HW/SW Co-design 流程 QPSK 调制 / 解调部分在 FPGA 实现 编解码算法在 ARM 实现 ARM 部分参数实时可调 真实无线电信号收发 Zynq Board ARM (PS) FPGA (PL) AXI - Lite TCP/IP External Mode TCP/IP Data Generation and Message Display Tx Rx AD9361 Tx/Rx Block AXI - DMA QPSK Tx/Rx AD9361 HDL IP Radio Out Radio In 30

30 Demo: QPSK 收发器设计的 HW/SW Co-design 流程 AXI FPGA Hardware AXI ARM Software 31

31 1. 生成 HDL IP 核 MATLAB 和 Simulink 算法和系统设计 Simulink Model HW 使用 HDL Coder 生成 HDL IP 核 SW Simulink Model 使用 HDL Coder 生成 HDL IP 核 AXI Lite Accessible Registers AXI4-Stream Video In AXI4-Stream Video Out Algorithm from MATLAB/ Simulink External Ports Programmable Logic IP Core 32

32 AXI4-Lite 2. 嵌入式系统集成 MATLAB 和 Simulink 算法和系统设计 使用 HDL Coder 生成 HDL IP 核 嵌入式系统集成 嵌入式系统集成 FPGA Bitstream Zynq 平台 AXI Lite Accessible Registers Processing System AXI AXI4-Stream Video In Video DMA AXI Lite Accessible Registers Algorithm from MATLAB/ Simulink AXI4-Stream Video In AXI4-Stream Video Out Algorithm from MATLAB/ Simulink AXI4-Stream Video Out Programmable Logic IP Core Xilinx Embedded System Project Programmable Logic IP Core External Ports External Ports 33

33 3. 生成软件接口模型 MATLAB 和 Simulink 算法和系统设计 Simulink Model HW 使用 HDL Coder 生成 HDL IP 核 SW 嵌入式系统集成 生成软件接口模型 Simulink Model 生成软件接口模型 FPGA Bitstream Zynq 平台 SW I/O Driver Blocks SW SW Interface Model SW Interface Model 34

34 4. Zynq 平台上实时运行 SW Interface Model MATLAB 和 Simulink 算法和系统设计 使用 HDL Coder 生成 HDL IP 核 嵌入式系统集成 生成软件接口模型 使用 Embedded Coder 编译软件模型 FPGA Bitstream Zynq 平台 使用 Embedded Coder 编译软件模型 SW I/O Driver Blocks 实时参数调制与验证 External 模式 处理器在环 (PIL) 仿真 SW External 模式 PIL SW Interface Model 35

35 设计自动化 :HDL Workflow Advisor 工具 36

36 模型 代码双向可追踪 37

37 Zynq HW/SW Co-Design 设计流程小结 基于标准的 Zynq HW/SW Co-design 构架 ARM : 与 Simulink 平台数据传输 + 软硬件接口 + 软件算法 FPGA : 硬件算法 + 实时无线电信号收发 利用 Simulink 的 External 模式实现参数调制和数据可视化 39

38 化繁为简 :MATLAB/Simulink 的软件定义无线电设计 从系统建模仿真到硬件部署 SDR Radio I/O SDR HW/SW Co-design 多个团队共同的统一开发环境 精简开发流程 针对现成硬件开发原型 具有生产的路径 无需丰富的 HDL 开发经验, 即可实现快速原型开发 通过目标原型进行早期验证 快速代码迭代允许更好的设计权衡 模型与测试平台灵活复用 在统一的设计环境中包含所有系统元素 射频 基带算法 FPGA 编程 软件接口开发 40

39 我们的目标 基于 MATLAB 和 Simulink 平台 以及商业 SDR 硬件, 协助无线工程团队从容应对软件无线电设计中的常见挑战 41

40 谢谢! 42

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

Presentation Title

Presentation Title 通往 5G 之路 : 无线系统的仿真和原型实现 王峥 (John Wang) 通信, 电子, 半导体行业经理, MathWorks 1 目录 5G 系统的挑战 从算法到天线的设计 空口的测试 (Over the Air Testing and Software Defined Radio) 原型实现 2 5G 展望和应用场景 5G 的技术需求 新的物理层技术 新的 RF 架构 新的网络配置 新的设计方法和外场测试

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

SPC Track_Topic2_MATLAB在下一代通信系统研发的应用

SPC Track_Topic2_MATLAB在下一代通信系统研发的应用 MATLAB 在下一代通信系统研发的应用 陈建平 MathWorks 2015 The MathWorks, Inc. 1 未来移动通信的挑战 4G, 5G 和 WLAN 标准 100-1000 倍的速度提升 无处不在的可靠服务 更高的复杂度 新的架构 新的频带 ( 毫米波 ) 更多天线 (Massive MIMO) 先进的 RF 和 DSP 协同设计 2 4G LTE & LTE-A 标准 标准低速移动传输速率高速移动传输速率

More information

PowerPoint Presentation

PowerPoint Presentation 快速 FPGA / ASIC 原型设计与验证 单博 信号处理应用工程师 MathWorks 中国 1 实际案例 HDL 代码自动生成, 并在 Xilinx 开发板运行 2 日程 介绍使用基于模型的设计方法进行 FPGA 设计实际案例 音频均衡器定点化模型 HDL 代码自动生成速度和面积优化验证 : HDL 联合仿真和 FPGA 在环仿真总结 3 基于模型的设计 (model based design):

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

What's New _R2015 v3

What's New _R2015 v3 2015 The MathWorks, Inc. 1 驱动 MathWorks 业务的核心能力 Verification, Validation, and Test Test and measurement Model checking Code verification Certification kits Automatic Code Generation Rapid prototyping and

More information

Presentation Title

Presentation Title 使用 Simulink 设计和部署 PARROT 四旋翼无人机飞行控制 胡乐华 高级应用工程师 MathWorks 中国 2018 The MathWorks, Inc. 1 MATLAB 产品家族 基于 MATLAB 和 Simulink 的近百种工具箱和扩展模块 正应用于当下的各行各业 基于事件的建模 物理建模 应用 快速原型与 HIL 仿真 验证 确认和测试 仿真图示和报告 控制系统 Simulink

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

F5

F5 DOI:10.3969/j.issn.1009-6868.2016.03.007 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20160426.1630.004.html Full-Duplex WiFi Design and Implementation Based on GRT platform 吴 浩 洋 /WU Haoyang

More information

I Love MATLAB meetup

I Love MATLAB meetup Simulink 基础入门 MathWorks 中国 2017 The MathWorks, Inc. 1 主要内容 Simulink 简介 Simulink 建模与仿真基础 自动代码生成 Simulink 硬件仿真 Stateflow 初步 案例分享 2 Simulink 简介 3 Simulink 产品家族 基于模型设计 (MBD) 从概念到代码实现的工具 系统建模和仿真 定点建模 基于事件的建模

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 中 电 科 微 波 通 信 ( 上 海 ) 股 份 有 限 公 司 公 开 转 让 说 明 书 主 办 券 商 二 零 一 六 年 三 月 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法

More information

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 将算法部署到 FPGA/ASIC 硬件 单博 2015 The MathWorks, Inc. 1 提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 为什么将算法部署到 FPGA/ASIC 硬件上 Speed Real-tme image processing

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

Presentation Title

Presentation Title 硬件设计的智能化从 MATLAB/Simulink 到 FPGA/ASIC/SoC 赵志宏全球产品市场部经理 1 FLIR 加速热成像 FPGA 的开发 挑战加速高级热成像滤波器的 FPGA 算法开发与实现 解决方法使用 MATLAB 开发 仿真并评估算法, 使用 HDL Coder 在 FPGA 上快速实现最佳算 原始图像 ( 左 ) 和应用滤波器 ( 通过 HDL Coder 开发而得 ) 后的图像

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Presentation Title

Presentation Title 从创意到实现 IoT 时代的智能系统 陈炜博士 张延亮博士 陈建平 亚太区大学计划高级经理, ARM 研究院 首席科学家, 松山湖国际机器人研究院 高级应用工程师,MathWorks 中国 1 智能系统无处不在 汽车工业 无人机 医药 人形机器人 农业 家用电器 玩具 消费电子 3D 打印 仓储 物流 2 智能系统的架构 可扩展的计算能力 安全通信 数据汇集 云平台 部署分析服务器 ( 产品 ) 工程数据处理

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Microsoft Word - Ethernet POWERLINK技术基础.doc

Microsoft Word - Ethernet POWERLINK技术基础.doc 实 时 工 业 以 太 网 Ethernet POWERLINK 技 术 基 础 V2010.03 EPAC(Ethernet POWERLINK 中 国 用 户 组 织 ) Ethernet POWERLINK 市 场 推 广 中 心 田 林 路 487 号 宝 石 园 21 号 楼 200233, 上 海 中 国 电 话 :+86-21-54644803 传 真 :+86-21-33675666

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63> 创 业 板 风 险 提 示 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

壹、

壹、 1 1 20ml. 10 35% 10 3 2 2 250ml. 10 2 (30c.c) 1 75ml 2 4 3 2 1 1 2 1. 2. 1c 3 4 5 1. 2. 3. 4. 5. 1. 2 6 2. 1 3. 7 1. 2. 3. 1. 2. 1 3. 8 1. 9 2. 50 3. 4. 10 5. 10 6. 25c.c. 4 7. 8. 50c.c. 9. 10. 11 12 25.63

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

MPEG AVS AV AVS:JVT AVS

MPEG AVS AV AVS:JVT AVS AVS 2003 7 30 MPEG AVS AV AVS:JVT AVS HPA Customer site A HPA Customer site B Harmonic Enterprise 1 Customer site C / (MPEG, H26x, AVS) (ISMA) DVB DVD (S/C/T) (TCP/IP) MPEG MPEG VCD: MPEG-1(ISO/IEC 11172)

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

Debugging the Zynq All Programmable SoC

Debugging the Zynq All Programmable SoC 2014 Xilinx All Programmable 客户技术培训 1Debugging the Zynq All Programmable SoC 2014.1 36300**slide Objectives After completing this module, you will be able to Explain the various JTAG chain configurations

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

Presentation Title

Presentation Title 2015 The MathWorks, Inc. 1 运用 MATLAB 加速嵌入式算法开发 MathWorks China 应用工程师单博 2015 The MathWorks, Inc. 2 主要内容 嵌入式应用仿真 浮点模型转化为定点模型的工作流程 自动收集数据并建议数据类型 推导数据类型 将定点模型自动转换为嵌入式 C 代码 代码生成与优化 验证 Polyspace 硬件连接 国内典型用户案例分析

More information

PowerPoint 簡報

PowerPoint 簡報 http://www.giga-solution.com 97 10 2 2 3 3 89 3 4 9.67 298 ( 97.9.30 ) 6 7 6 5-7 3,149 RFIC( IC) SiP( ) SoC( ) (WLAN) (TV Tuner) 4 4 89 3 90 3 93 4 94 8 95 12 96 4 5 8 2007 Fast 50 (Technology Fast 50

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

目 录

目    录 目 录 学 院 概 况... 1 计 算 机 与 信 息 学 院 ( 常 州 )... 2 物 联 网 工 程 专 业 介 绍... 3 物 联 网 工 程 专 业 介 绍... 4 专 业 人 才 培 养 方 案... 3 物 联 网 工 程 专 业 本 科 人 才 培 养 方 案... 6 课 程 教 学 大 纲... 15 第 一 部 分 基 础 课...16 电 路 教 学 大 纲... 16

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

2003 1

2003 1 2003 2003 DATANG TELECOM TECHNOLOGY CO., LTD 2004 4 16 2003 1 2003 3 4 6 9 12 14 16 31 32 35 62 2 2003 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@datang.com

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

, Testbch for Lane Detection Demo in System Gerator Frame Rate Display.8 RGB Ref I Out Hough, (, ), (input stimulus), DA, ( )DA,,,,, System Gerator HD

, Testbch for Lane Detection Demo in System Gerator Frame Rate Display.8 RGB Ref I Out Hough, (, ), (input stimulus), DA, ( )DA,,,,, System Gerator HD 利用赛灵思 FPGA 平台构建汽车驾驶辅助系统算法 System Gerator for DSP 是一款具有高抽象层的设计工具, 为算法开发人员和系统架构师从 Simulink 算法参考模型过渡到 FPGA 硬件实施技术提供了一种高效的途径, 且无需任何 HDL 编码工作 作者 :Daniele Bagni, 赛灵思公司 DSP 专家联系方式 :daniele.bagni@xilinx.com Roberto

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3 附 表 深 圳 职 业 技 术 学 院 文 化 育 人 示 范 课 程 建 设 项 目 申 请 书 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 课 程 性 质 课 程 负 责 人 所 属 专 业 所 属 部 门 基 础 课 郑 红 数 学 工 业 中 心 填 表 日 期 2015-12-28 深 圳 职 业 技 术 学 院 制 二 一 五 年 十 二 月 1 一 课 程 基 本

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

基于泛在网的智能交通应用系统总体框架

基于泛在网的智能交通应用系统总体框架 ICS 01.040.33 M04 YDB 2010-2623T-YD 中 国 通 信 标 准 化 协 会 标 准 YDB 2010-2623T-YD 通 信 网 支 持 智 能 交 通 系 统 总 体 框 架 General architecture of intelligent transportation system based on telecommunication networks 201X

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

<4D6963726F736F667420576F7264202D2032A1A2CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE92E646F63>

<4D6963726F736F667420576F7264202D2032A1A2CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE92E646F63> 广 州 杰 赛 科 技 股 份 有 限 公 司 GCI SCIENCE & TECHNOLOGY CO.,LTD. ( 注 册 地 址 : 广 州 市 新 港 中 路 381 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 保 荐 人 ( 主 承 销 商 ) ( 注 册 地 址 : 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 本 次 发 行 概

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

306 2009.,.,, IP,. ( FPGA ) SoC,. Xilinx DSPTM System Genera2 tor [8] Altera DSP BuilderTM [9] Simulink, FPGA.,. FPGA,, FPGA,., ARM FPGA SoC., SoC. 1,

306 2009.,.,, IP,. ( FPGA ) SoC,. Xilinx DSPTM System Genera2 tor [8] Altera DSP BuilderTM [9] Simulink, FPGA.,. FPGA,, FPGA,., ARM FPGA SoC., SoC. 1, 2 2009 2 ACTA ELECTRONICA SINICA Vol. 37 No. 2 Feb. 2009 1, 1, 1, 2, 1 (11, 310027 ;21, 310012) :, (SoC).. SoC,,. H1264. SoC,. : ; ; : TP368 : A : 037222112 (2009) 0220305207 A Multiproce ssor Prototype

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知

深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知 深 入 了 解 追 求 卓 越 立 即 报 名 中 国 2016 深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知 识 他 们 还 在 新 产 品 发

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 可信软件工程中的逻辑方法研讨会 LEDS2015 会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 ( 中 航 工 业 集 团 631 研 究 所 )

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

rz_Newsletter2016_en_160111.indd

rz_Newsletter2016_en_160111.indd 2016 Android AUTOSAR Linux AUTOSARAU Reverse ging Target Communication Framework ARM CoreSight TM Requirements Analysis Nexus Timing Tools Intel Trace Hub GDB Infineon MCDS Unit Testing PIL Simulation

More information

ITU-R BT.2016建议书(03/2012) - VHF/UHF频段内用手持接收机移动接收的地面多媒体广播的纠错、数据成帧、调制和发射方法

ITU-R BT.2016建议书(03/2012) - VHF/UHF频段内用手持接收机移动接收的地面多媒体广播的纠错、数据成帧、调制和发射方法 ITU-R BT.2016 建议书 (04/2012) VHF/UHF频段内用手持接收机 移动接收的地面多媒体广播的 纠错 数据成帧 调制 和发射方法 BT系列 广播业务 (电视) ii ITU-R BT.2016 建 议 书 前 言 无 线 电 通 信 部 门 的 职 责 是 确 保 卫 星 业 务 等 所 有 无 线 电 通 信 业 务 合 理 平 等 有 效 经 济 地 使 用 无 线 电 频

More information

98支用計畫書-報部 修改.doc

98支用計畫書-報部 修改.doc 1. ( )284 97 97 23 60 140 53 8 284 90 16 165 2. 3 56 276 8 424 (1) (2) (3) (4) (5) 1 3. 276 23 8 60 22 140 51 53 19 81% 97 10 15 29.58( ) 30.06 21.57 64.33%( ) 4. 276 179 64.9 92 33.3% 13 4.7% 5. 6. 1.

More information

挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 管 会

挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 管 会 厦 门 积 硕 科 技 股 份 有 限 公 司 Xiamen Jesoo Inc. ( 申 报 稿 ) 推 荐 主 办 券 商 二 零 一 五 年 二 月 1 挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3C6D6B6ABD0C2C7F832303131C4EAB6C8B5DAD2BBC6DAA1B0D5C5BDADBFC6BCBCD0CDD6D0D0A1C6F3D2B5A1B1BCAFBACFC6B1BEDDC4BCBCAFCBB5C3F7CAE92E646F63>

<4D6963726F736F667420576F7264202D20C9CFBAA3C6D6B6ABD0C2C7F832303131C4EAB6C8B5DAD2BBC6DAA1B0D5C5BDADBFC6BCBCD0CDD6D0D0A1C6F3D2B5A1B1BCAFBACFC6B1BEDDC4BCBCAFCBB5C3F7CAE92E646F63> 上 海 浦 东 新 区 2011 年 度 第 一 期 张 江 科 技 型 中 小 企 业 集 合 票 据 募 集 说 明 书 组 织 协 调 人 : 上 海 市 张 江 高 科 技 园 区 管 理 委 员 会 注 册 总 金 额 : 70,000 万 元 人 民 币 本 期 发 行 金 额 : 70,000 万 元 人 民 币 本 期 发 行 期 限 : 3 年 担 保 方 式 : 上 海 市 再

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information