, Testbch for Lane Detection Demo in System Gerator Frame Rate Display.8 RGB Ref I Out Hough, (, ), (input stimulus), DA, ( )DA,,,,, System Gerator HD

Size: px
Start display at page:

Download ", Testbch for Lane Detection Demo in System Gerator Frame Rate Display.8 RGB Ref I Out Hough, (, ), (input stimulus), DA, ( )DA,,,,, System Gerator HD"

Transcription

1 利用赛灵思 FPGA 平台构建汽车驾驶辅助系统算法 System Gerator for DSP 是一款具有高抽象层的设计工具, 为算法开发人员和系统架构师从 Simulink 算法参考模型过渡到 FPGA 硬件实施技术提供了一种高效的途径, 且无需任何 HDL 编码工作 作者 :Daniele Bagni, 赛灵思公司 DSP 专家联系方式 Roberto Marzotto,Embedded Vision Systems (evs) S.r.l. 设计工程师联系方式 : roberto.marzotto@evsys.net Paul Zoratti, 赛灵思公司汽车高级系统架构师联系方式 :paul.zoratti@xilinx.com,, FPGA, FPGA PC FPGA System Gerator for DSP, Simulink PC FPGA evs System Gerator for DSP Xilinx FPGA,,, 驾驶辅助系统开发面临的挑战 (DA) PC, PC,,,

2 , Testbch for Lane Detection Demo in System Gerator Frame Rate Display.8 RGB Ref I Out Hough, (, ), (input stimulus), DA, ( )DA,,,,, System Gerator HDL Input ROI RGB EOF frame _in hst_th eth _th 0.0 perctage of pixels to be saturated (HST ) 0.9 perctage of not edge pixels (ETH ) u T Transpose -K- Gain frame _in hst_th eth_th Lane Detection frame_in hst_th eth_th 车道偏离警告模型简介 (LDW) DSP, Spartan - FPGA Input Image Lane Marking Candidates VLIW DSP L D W ROI Cropping Morphological ( Xcell Journal Operators Gaussian Noise : Reduction Lane Marking, Pattern Search publications/xcellonline/xcell_/xc_ Histogram Adaptive Threshold Stretching pdf/p-9_-block.pdf ), + Thinning Simulink H/V Gradits Magnitude and Phase FPGA Extraction Calculation VHDL,,, LDW,FPGA, Simulink System Gerator for DSP FPGA,, FPGA frame_ FPGA Processing EOF Boolean Lane Tracking Repository Count u T Transpose Departure Warning System Gerator 中车道检测演示测试基准 MATLAB Simulink,(pipeline) -D Simulink x (GNR);,, BW Repository Count Ref I Hough Theta Transform Hough Transform Matrix Viewer Hough Rho Pattern Search Pts Hough Theta_list Rho_list Peak Detection LDW Simulink RGB Pts Output 008

3 (HST),, ; / (HVG), -D x ( D ), HVG (ETH), I/O ( ) (LMPS),, x (MRP),, Simulink,, Simulink MATLAB FPGA,,LDW Simulink FPGA,FPGA, 0,, XA Spartan-A DSP 00, A DSP 800 E 00 Simulink DSP MATLAB DSP System Gerator 概述 System Gerator for DSP System Gerator for DSP Simulink FPGA Simulink DSP, (), CORE Gerator PC, DSP DSP 90,, FIR FET FEC (bit-wise block) Gateway-In Gateway-Out FPGA Simulink Gateway-In,, Simulink MATLAB, System Gerator M AT L A B Simulink Source and Sink From-Workspace To-Workspace MATLAB (From- Workspace), MATLAB (To- Workspace), MATLAB System Gerator, MATLAB ( MATLAB,, ), PC FPGA,, PC,, Simulink,, System Gerator for DSP,, GNR, System Gerator 实施 GNR 功能 ( ), ( CMOS ),,, (FIR) G N R S y s t e m G e n e r a t o r, MATLAB,, ( ),

4 : n_mask = fspecial('gaussian',, 0.8); _img = conv(in_img, n_mask, 'same'); data_in data_ x, [I(u,v-); I(u,v-);I(u,v-);I(u,v-);I(u,v)],,,,, (line buffer), RAM( FPGA ) System Gerator for DSP, MATLAB GNR (, MATLAB,,System Gerator System Gerator System Gerator, ) HDL,,System Gerator -D GNR ( ( ), ), x DSP, HDL System Gerator I (u,v), eth _th phase _in phase _ hst _th delay _phase data _ offset _in data _ hg_ vg _ grad _h_in grad _v _in edge _ edge lmc clean_ edge _ gain _in offset _ magn magn cutoff _ phase erode_ threshold gain _ ArcTan cutoff _in th _not _edges dilate _ GNR HVG HST ETH LMPS MRP Delay rts_g rst rst vs_ Delay hs_ Delay Delay ctrl _sig_buffer Delay L L Y_in Y_in vs_in hs_in and Logical L L L Y_in Y_in Y_in filtered data _ Five Buffer (latcy =) sync _inp not Inverter and Logical z - Delay x FIR (latcy =) 008

5 d0 d d d The latcy of this block is ( x z - Delay L FIR, ) Out z - Delay L, Out ValidOut Dual Buffer Latcy z - Delay Out Out L L L, 900 (9 MSPS), ValidOut ( Dual Buffer Terminator FPGA DSP8 The latcy of this block is ) or Logical datain Constant rst cnt Counter a b a=b Relational 0 Constant Constant Delay Delay hi lo Concat hi lo Concat addra dina wea addrb dinb web A B Dual Port RAM latcy= z - Delay Delay Out Out, 9 ( System Gerator ) ROM, ROM RAM(FPGA ), z - Delay ValidOut, System Gerator,, addr z - ROM A sync _inp sync counter _ addr z - ROM B addr z - synch counter and ROM C z - Delay z - Delay (: A0 coeff _from _rom, Y_in Y_in Y_in Y_in In In In In tapsdelay 0 tapsdelay tapsdelay tapsdelay Out Out Out Out Out Out Out Out Out Out Out Out Out Out Out Out A A A A A A A A8 B0 B B B B B B B B8 C0 C C Out A Out B mux _ Sel DSP 8 Macro (latcy =) A coeff _from _rom mux _ Sel DSP 8 Macro (latcy =) B coeff _from _rom P P d d Register Register q q 9 Down Sample 9 Down Sample a b a + b AddSub a b a + b AddSub cast Convert filtered, x x FPGE,, ) Y_in In tapsdelay Out Out Out Out C C C C Out C mux _ Sel DSP 8 Macro (latcy =) C P d Register q 9 Down Sample Delay System Gerator mux 9 (latcy =) [a:b] Slice Delay A0 A A A A A 8 A 9 A 0 A8 in in in in in in in mux9 A (latcy =) Out A B0 B B B B B B 8 B 9 B8 in in in in in in in mux9 B (latcy =) Out B 0 C0 C C C C C C in in in in in in in mux9 C latcy = Out C [a:b] Slice in in in in in 8 in 9 in 0 d0 d d d Mux d0 d d d Mux Delay Mux MATLAB System Gerator (, FIR ), System Gerator FIR

6 ,, Stop MATLAB,,, System Gerator FPGA 综合结果 FPGA,, XA Spartan-A DSP 00,,, 8. MHz,. MSPS,,, XA Spartan-E XA Spartan-A DSP 00, XA Spartan-A 00 GNR 00A, VGA 0-Hz ( 9. MSPS),GNR 8. MHz, 8. MSPS : 结果及未来工作 LDW, FPGA, FPGA LDW, LDW,,,,, Kalman FPGA, AccelDSP,, LDW DSP,, AccelDSP Kalman AccelDSP MATLAB, System 008

7 Gerator for DSP, AccelDSP MATLAB, MATLAB FPGA DSP, DSP, FPGA System Gerator for DSP ( ), PC,, System Gerator for DSP LDW System Gerator for DSP, ( GNR -D FIR ), ( )XA Spartan- A DSP 00 DSP8 BRAM,9 (slice) 8. MHz,. MSPS, VGA 0% FPGA, VHDL : AccelDSP,, ( (Verona University) Vittorio Murino, ) 8

Microsoft Word - KSAE06-S0262.doc

Microsoft Word - KSAE06-S0262.doc Stereo Vision based Forward Collision Warning and Avoidance System Yunhee LeeByungjoo KimHogi JungPaljoo Yoon Central R&D Center, MANDO Corporation, 413-5, Gomae-Ri, Gibeung-Eub, Youngin-Si, Kyonggi-Do,

More information

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门

第 二 章 校 草 出 现 圣 迪 亚 学 院, 一 所 远 近 闻 名 的 贵 族 学 院 它 的 知 名 度 就 好 像 猪 的 知 名 度 一 样, 无 人 不 知 无 人 不 晓 是 所 有 人 都 向 往 的 学 校 圣 迪 亚 学 院 是 以 欧 式 建 筑 风 格 为 主 的 大 门 乖 丫 头 的 冰 山 王 子 / 作 者 : 小 甜 第 一 章 遇 到 坏 人 呜 呜 呜 呜 在 一 个 僻 静 的 小 巷 子 里, 一 个 可 爱 的 女 生 蹲 在 地 上 弱 弱 的 哭 泣 着, 她 怎 么 那 么 倒 霉 啊! 因 为 怕 上 学 迟 到 所 以 走 近 路 没 想 到 还 碰 上 这 种 事 不 准 哭, 快 把 钱 拿 出 来 要 不 然 要 你 好 看 一 个

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Real-time Human Detection and Security System

Real-time Human Detection and Security System 人 型 即 時 辨 識 系 統 Real-time Human Detection and Security System 隊 名 : 偵 查 隊 系 別 : 資 訊 工 程 系 指 導 老 師 : 鄭 淑 真 老 師 組 員 學 生 : 吳 冠 億 陳 冠 達 王 士 豪 黃 智 鴻 ㄧ.. 前 言 : 現 今 社 會 科 技 非 常 發 達, 我 們 只 是 利 用 簡 單 工 具 做 出 ㄧ

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

总 第 37 期 / 2015 年 2 月 车 厂 资 讯 一 汽 与 工 信 部 电 子 五 所 签 署 战 略 合 作 框 架 协 议 1 月 29 日, 中 国 第 一 汽 车 股 份 有 限 公 司 与 工 业 和 信 息 化 部 电 子 第 五 研 究 所 战 略 合 作 签 约 仪 式

总 第 37 期 / 2015 年 2 月 车 厂 资 讯 一 汽 与 工 信 部 电 子 五 所 签 署 战 略 合 作 框 架 协 议 1 月 29 日, 中 国 第 一 汽 车 股 份 有 限 公 司 与 工 业 和 信 息 化 部 电 子 第 五 研 究 所 战 略 合 作 签 约 仪 式 总 第 37 期 / 2015 年 2 月 企 业 新 闻 新 春 寄 语 尊 敬 的 读 者 朋 友 们 : 大 家 新 春 快 乐! 羊 年 首 场 技 术 交 流 会 德 赛 西 威 与 昌 河 汽 车 签 下 战 略 合 作 协 议 2 月 2 日, 德 赛 西 威 2015 年 首 场 与 车 厂 的 技 术 交 流 会 在 昌 河 汽 车 举 行, 德 赛 西 威 董 事 长 兼 总 经

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

****************************************************** Fundamentals of TV Tracking ****************************************************** ( ),,, :,,,,,, 1998 9 ( ISBN 7-118-01911-9),,, 1999 5 20 Email:

More information

D.TWO用户手册.doc

D.TWO用户手册.doc D TWO D.TWO -----------------------------------------------------------------------3 -----------------------------------------------------------------------4 -----------------------------------------------------------------------6

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3 附 表 深 圳 职 业 技 术 学 院 文 化 育 人 示 范 课 程 建 设 项 目 申 请 书 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 课 程 性 质 课 程 负 责 人 所 属 专 业 所 属 部 门 基 础 课 郑 红 数 学 工 业 中 心 填 表 日 期 2015-12-28 深 圳 职 业 技 术 学 院 制 二 一 五 年 十 二 月 1 一 课 程 基 本

More information

untitled

untitled 7-1 - 1 word 2 3 4 2 5 8-2 - 1 2005 / / 70 5 3 463 70 5 3 447 40 4 3 48 40 4 3 56 40 4 3 7 / 11 9 178 13-3 - 2003-2010 2003-2010 2003-2006 2009-2011 2003-2005 2005.12 2006.5 2008.9 2010.10 2010.10 / /

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

2011年自动化、电气类教材书目.doc

2011年自动化、电气类教材书目.doc 科 学 出 版 社 2011 年 自 动 化 电 气 类 教 材 一 高 等 院 校 本 科 生 教 材 1. 电 气 信 息 类 基 础 课 程 教 材 课 程 名 书 号 书 名 定 价 著 译 者 备 注 页 码 978-7-03-019768-9 电 路 分 析 30.00 董 维 杰 等 辽 宁 省 精 品 课 程 1 978-7-03-017502-6 电 路 分 析 30.00 胡 建

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

第 期 彭莉科等 基于计算机视觉的卷烟包装尺寸测量方法 引言 像 由图像采集卡 CCD相机 镜头和两组结构光源等 组成 图像处理系统用于分析获取的数字图像提取 计算机视觉是近几年兴起的高新技术它涉及 图像中的结构光信息生成烟包的点云数据并给出 人工智能 神经生物学 图像处理 模式识别等多个 烟包的尺

第 期 彭莉科等 基于计算机视觉的卷烟包装尺寸测量方法 引言 像 由图像采集卡 CCD相机 镜头和两组结构光源等 组成 图像处理系统用于分析获取的数字图像提取 计算机视觉是近几年兴起的高新技术它涉及 图像中的结构光信息生成烟包的点云数据并给出 人工智能 神经生物学 图像处理 模式识别等多个 烟包的尺 第 卷 第 期 年 8月 郑 州 轻 工 业 学 院 学 报 自 然 科 学 版 J OURNALOFZHENGZHOUUNI VERSI TYOFLI GHTI NDUSTRY N S V N A 文章编号 X 基于计算机视觉的卷烟包装尺寸测量方法 彭莉科 方细玲 刘丹 张龙 李志刚 闫龙 花昌义 刘勇 李旭华 国家烟草专卖局 经济运行司北京 广东中烟工业有限责任公司 技术中心广东 广州 中国科学院

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

Microsoft PowerPoint - 6-B.ppt

Microsoft PowerPoint - 6-B.ppt A1 艾 群 教 授 提 供 投 影 片 1 A1 Aquarius, 2008/3/27 波 與 振 動 所 謂 振 動, 就 是 隨 著 時 間 而 進 行 的 擺 動 隨 著 時 間 進 行 且 穿 越 空 間 的 擺 動 就 叫 做 波 (wave) 波 不 會 固 定 在 一 個 地 點 上, 而 必 定 是 經 一 個 地 點 前 進 到 另 一 個 地 點 單 擺 的 振 動 伽 利

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD (GBT ) GBT GBT, GBT 2002 10 31-1 - 1 11 3 12 GV-R7500L 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 15 315 15 316 22 32 Windows

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

59 1 CSpace 2 CSpace CSpace URL CSpace 1 CSpace URL 2 Lucene 3 ID 4 ID Web 1. 2 CSpace LireSolr 3 LireSolr 3 Web LireSolr ID

59 1 CSpace 2 CSpace CSpace URL CSpace 1 CSpace URL 2 Lucene 3 ID 4 ID Web 1. 2 CSpace LireSolr 3 LireSolr 3 Web LireSolr ID 58 2016. 14 * LireSolr LireSolr CEDD Ajax CSpace LireSolr CEDD Abstract In order to offer better image support services it is necessary to extend the image retrieval function of our institutional repository.

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

經 濟 統 計 分 析 與 統 計 圖 表 多 媒 體 設 計 之 創 新 作 法 ( 附 件 ) 經 濟 部 統 計 處 中 華 民 國 103 年 8 月 29 日 內 容 大 綱 壹 效 益 性... 2 一 點 閱 率... 2 二 媒 體 報 導... 4 三 部 務 會 議 裁 示... 7 四 榮 獲 本 部 103 年 網 站 評 比 第 1 名... 9 貳 具 體 作 法...

More information

untitled

untitled 雙 輸 入 酸 鹼 度 氧 化 還 原 電 位 PC3200 操 微 電 腦 控 制 器 作 ph MV 7.00 1000 手 ATC 25.0 MTC 25.0 冊 1 錄...... 參...... 說.. 參.. 參.. 4.. 4.1.. 4.2 說 4.3 說 4.4 說 4.5 量.. 5.1 量 流.. 5.2 參 數.. 5.3.. 5.4 參 數 復.. 5.5 參 數 復..

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們 NT-503 USB DAC/Network Player 關 於 此 機 器 的 網 路 功 能, 請 看 網 路 說 明 書 使 用 者 說 明 書 與 網 路 說 明 書 可 以 從 TEAC Global Site (http://www.teac-global.com/) 下 載 USB D/A 轉 換 器 / 網 路 播 放 機 使 用 說 明 書 欲 播 放 USB 快 閃 記 憶 體

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

GIGA-BYTE TECHNOLOGY CO., LTD. ( GBT ) GBT GBT, GBT

GIGA-BYTE TECHNOLOGY CO., LTD. ( GBT ) GBT GBT, GBT GIGA-BYTE TECHNOLOGY CO., LTD. (GBT ) GBT GBT, GBT 2003 7 9 1. 1.1.... 3 1.2.... 3 2. 2.1.... 4 2.2.... 5 2.3.... 6 3. 3.1. Win98/98SE or WinME,Win2000Win XP... 8 3.1.1... 8 3.1.2 Direct X... 9 3.1.3...

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

的 開 銷, 請 務 必 先 和 家 裡 討 論 後 再 做 決 定 二 研 修 學 校 簡 介 卡 內 基 美 隆 大 學 (Carnegie Mellon University), 位 於 賓 州 匹 茲 堡 會 選 擇 來 這 裡 交 換, 我 相 信 大 部 分 的 人 都 已 經 知 道

的 開 銷, 請 務 必 先 和 家 裡 討 論 後 再 做 決 定 二 研 修 學 校 簡 介 卡 內 基 美 隆 大 學 (Carnegie Mellon University), 位 於 賓 州 匹 茲 堡 會 選 擇 來 這 裡 交 換, 我 相 信 大 部 分 的 人 都 已 經 知 道 學 海 專 用 學 海 飛 颺 / 學 海 惜 珠 學 生 出 國 研 修 心 得 報 告 獲 補 助 年 度 105 薦 送 學 校 系 所 年 級 中 文 姓 名 研 修 國 家 研 修 學 校 國 立 交 通 大 學 電 機 工 程 學 系 四 年 級 陳 瑞 邦 美 國 卡 內 基 美 隆 大 學 (Carnegie Mellon University) 一 緣 起 趁 年 輕, 給 自 己

More information

大事记 抛掉束缚 颠覆传统立体呈现 利亚德首款 110 吋裸眼 3D LED 电视首发会 04 公司产品 大为观 智所享 利亚德第二代 LED 大尺寸电视耀世登场 06 利亚德推出全新 领秀综合播控系统 09 公司动态 荷兰前首相巴尔克嫩德一行造访利亚德总部 10 利亚德光电股份有限公司荣获第三届北

大事记 抛掉束缚 颠覆传统立体呈现 利亚德首款 110 吋裸眼 3D LED 电视首发会 04 公司产品 大为观 智所享 利亚德第二代 LED 大尺寸电视耀世登场 06 利亚德推出全新 领秀综合播控系统 09 公司动态 荷兰前首相巴尔克嫩德一行造访利亚德总部 10 利亚德光电股份有限公司荣获第三届北 2014 年 第 02 期 主 办 单 位 : 利 亚 德 光 电 股 份 有 限 公 司 抛 掉 束 缚 颠 覆 传 统 立 体 呈 现 利 亚 德 首 款 110 寸 裸 眼 3D LED 电 视 首 发 会 大 为 观 智 所 享 利 亚 德 第 二 代 LED 大 尺 寸 电 视 耀 世 登 场 利 亚 德 光 电 股 份 有 限 公 司 荣 获 第 三 届 北 京 市 发 明 专 利 奖

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

LHAASO-WFCTA SiPM成像探头研制进展

LHAASO-WFCTA  SiPM成像探头研制进展 THE PROGRESS OF SIPM-BASED CAMERA FOR LHAASO-WFCTA Baiyang Bi, Shoushan Zhang, Lingling Ma, Liqiao Yin for the LHAASO Collaboration Outline Introduction LHAASO-WFCTA Why SiPM? Progress Design of Camera/Sub-Cluster

More information

158 中 極 學 刊 一 前言 清末著名的改良戲曲 黑籍冤魂 原為清末小說家吳趼人寫的短篇小說 名 伶夏月珊將其稍易節目 並搬演於舞臺 由於劇情發人深省 反映社會之弊 故 引 起 當 時 熱 烈 的 迴 響 黑 籍 冤 魂 可 說 是 晚 清 啟 蒙 儀 式 中 最 為 重 要 的 片 段 之 一

158 中 極 學 刊 一 前言 清末著名的改良戲曲 黑籍冤魂 原為清末小說家吳趼人寫的短篇小說 名 伶夏月珊將其稍易節目 並搬演於舞臺 由於劇情發人深省 反映社會之弊 故 引 起 當 時 熱 烈 的 迴 響 黑 籍 冤 魂 可 說 是 晚 清 啟 蒙 儀 式 中 最 為 重 要 的 片 段 之 一 中極學刊 第八輯 國立暨南國際大學 中 國 語 文 學 系 2 0 1 4 年 1 2 月 張純梅 暨南國際大學中文所 摘 要 晚 清 戲 曲 改 良 運 動 為 近 代 戲 曲 奠 定 了 現 代 性 之 基 礎 尤 其 是 於 清 末 1908 年 上 海 竣 工 的 新 式 劇 場 新 舞 臺 不 僅 使 觀 劇 空 間 硬 體 設 備 如 燈 光 照 明 道 具 舞臺設計等改變 演員 表演形態

More information

NAC-300 user manual

NAC-300 user manual NAC-300N/ NAC-300P - 1 - NAC-300N/ NAC-300P ( 1.0.0) 2006 2006 1 27-2 - - 3 - NAC-300... 6 1.1... 6 1.2... 7 1.3... 8 1.4... 9 NAC-300... 10 2.1 NAC-300...10 2.2 NAC-300...12 NAC-300... 14 3.1...14 3.2

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

天津市虚拟仿真实验教学中心

天津市虚拟仿真实验教学中心 天 津 市 虚 拟 仿 真 实 验 教 学 中 心 申 请 书 通 信 导 航 监 视 虚 拟 仿 真 实 验 教 学 中 心 学 校 名 称 : 中 国 民 航 大 学 学 校 管 理 部 门 电 话 : 022-24092152 开 放 共 享 访 问 网 址 : http://www.cauc.edu.cn/xnfz/default.html 申 报 日 期 : 2015 年 8 月 6 日

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc)

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc) 貳 選 組 的 考 量 選 組 議 題 對 最 近 高 一 同 學 來 說 是 迫 在 眉 睫, 其 實 有 些 同 學 是 老 神 在 在, 早 就 知 道 要 選 自 然 組 或 社 會 組 ; 但 是 對 少 數 同 學 說 是 困 擾 多 多, 因 為 真 的 難 以 取 捨, 不 知 如 何 是 好 此 問 題 須 考 慮 到 興 趣 能 力 大 學 校 系 工 作 價 值 觀 行 業 趨

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

sc_R9000_101

sc_R9000_101 GV-R9000 Radeon 9000 Rev. 101 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2002 9 3 GV-R9000-2 - 1.... 4 1.1.... 4 1.2. GV-R9000... 4 2.... 5 2.1.... 5 2.2.... 6 2.3.... 7 3.... 9 3.1. Windows 98/98SE

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

2

2 1 > > > > 2003/12/03 2 3 1984 1992 1996 1997 1998 2002 1984 1988 4 1993 1997 2003 10 68 5 6 2002 7 1987 1997 1998 8 2001 12 1979 1980 1987 1989 1991 1996 2001 2001 12 2002 10 1995 1997 < > 9 1998 10 2001

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

使 小 趙 有 機 可 趁 二 員 工 法 紀 觀 念 薄 弱 小 趙 身 為 主 管, 竟 假 藉 職 務 之 便, 利 用 平 時 得 經 常 申 請 出 差 之 機 會, 虛 立 出 差 名 目, 實 係 法 紀 觀 念 薄 弱 使 然 肆 具 體 改 進 措 施 或 建 議 一 訂 定 或

使 小 趙 有 機 可 趁 二 員 工 法 紀 觀 念 薄 弱 小 趙 身 為 主 管, 竟 假 藉 職 務 之 便, 利 用 平 時 得 經 常 申 請 出 差 之 機 會, 虛 立 出 差 名 目, 實 係 法 紀 觀 念 薄 弱 使 然 肆 具 體 改 進 措 施 或 建 議 一 訂 定 或 案 例 一 未 實 際 出 差, 詐 領 差 旅 費 壹 案 情 摘 要 小 趙 為 某 機 關 主 管, 負 責 該 機 關 業 務 之 進 行 及 督 導 等 職 務, 為 依 法 令 服 務 於 國 家 所 屬 機 關 而 具 有 法 定 職 務 權 限 之 公 務 員 小 趙 自 101 年 9 月 19 日 起, 意 圖 為 自 己 不 法 所 有, 利 用 出 差 督 導 辦 理 業 務

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

信息

信息 信 息 与 算 机 工 院 算 机 科 与 技 术 人 才 培 养 方 案 ( 代 码 :080901) 一 培 养 目 标 培 养 适 应 国 家 建 设 发 展 需 要, 德 智 体 全 面 发 展, 具 有 良 好 的 科 素 养 和 丰 厚 的 人 文 底 蕴, 掌 握 扎 的 算 机 科 核 心 基 础 理 论 知 识 和 主 流 工 技 术, 了 解 本 的 前 沿 发 展 现 状 和

More information

施叔青傳排版檔.indd

施叔青傳排版檔.indd 第 一 篇 原 鄉 臺 灣 島 第 一 章 鹿 港 女 兒 每 年 每 月 每 日 每 時 每 刻, 都 有 人 降 生 到 這 個 美 麗 而 喧 囂 的 世 界 人 不 能 選 擇 降 生 時 間, 也 不 能 選 擇 降 生 地 點 和 家 庭 諸 如 這 些 不 能 由 人 選 擇 天 然 加 諸 於 人 的, 就 是 人 們 常 說 的 命 吧 不 能 否 認, 人 各 有 命 施 叔 青

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

[1] [4] Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins)

[1] [4] Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins) 38 8 38th Annual Conference of CSQ & 8th NQMS MCP IE 120 1. [1] [4] 1 2 3 2. Chetverikov Lerch[8,12] LeaVis CAD Limas-Serafim[6,7] (multi-resolution pyramids) 2 n 2 n 2 2 (texture) (calf leather) (veins)

More information

目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5.

目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5. VN248 系 列 液 晶 显 示 器 用 户 指 南 目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5.1 液 晶 显 示 器 前 部... 1-3

More information

<4D6963726F736F667420576F7264202D203039C4EAB5DA3037C6DAA3A831303031A3A920CCABD4ADC0EDB9A4B4F3D1A7B4B4D0C2D0D4CAB5D1E9A3A8D7DCB5DA3037C6DAA3A92E646F63>

<4D6963726F736F667420576F7264202D203039C4EAB5DA3037C6DAA3A831303031A3A920CCABD4ADC0EDB9A4B4F3D1A7B4B4D0C2D0D4CAB5D1E9A3A8D7DCB5DA3037C6DAA3A92E646F63> 交 流 & 实 践 编 者 寄 语 : 李 政 道 老 先 生 曾 说 过 这 样 一 句 话 : 交 友 宜 切 磋, 通 理 需 深 入 老 先 生 对 交 流 的 重 视 可 见 一 斑 交 流, 才 能 开 阔 眼 界 ; 交 流, 才 能 见 贤 思 齐 ; 交 流, 才 能 客 观 的 认 识 自 己 研 究 室 最 难 忘 的 经 历 记 太 原 理 工 大 学 晓 明 研 究 室 研

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 年 教 学 工 作 安 排 2015 2016 学 年 第 二 学 期 教 学 工 作 计 划 二 O 一 六 年 三 月 十 日 2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调

More information

,,,,,,,,,,,,,, :,,,, 1 ?,,, :,,,?,,?, :,,,,,,,,,? :,, :,,?, :??, :,!,, 2 ,,,,,,,,,,,,,,,,,?,,,,,,,,,,,,,, :, 3 !?!?,!,!,, :,,,,,,,,,,,,,,,,,,,,,,,,,? :??,, 4 ,,,,,,, :?, :,,,,,,,,,,,,,, 5 ,,,,,,,,,,,,,,,,,,,,,,,,,?,??

More information

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到 附 件 :.014 年 实 习 生 进 出 实 习 单 位 用 车 方 案 南 京 医 科 大 学 014 年 6 月 实 习 学 生 进 出 实 习 单 位 用 车 计 划 教 务 处 编 014 年 6 月 5 日 实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名

More information

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A 分 级 基 金 入 门 1 概 述 分 级 基 金 是 指 通 过 对 基 金 收 益 分 配 的 安 排, 将 基 金 份 额 分 成 预 期 收 益 与 风 险 不 同 的 两 类 或 多 类 份 额, 并 将 其 中 一 类 份 额 或 多 类 份 额 上 市 进 行 交 易 的 结 构 化 证 券 投 资 基 金 通 常 所 谈 到 的 分 级 基 金 是 将 母 基 金 产 品 ( 可 以

More information

简报158期.doc

简报158期.doc 党 员 干 部 现 代 远 程 教 育 简 报 第 15 期 ( 总 第 158 期 ) 中 共 山 东 省 委 农 村 党 员 干 部 现 代 远 程 教 育 领 导 协 调 小 组 办 公 室 2012 年 10 月 31 日 喜 迎 十 八 大 威 海 市 组 织 开 展 系 列 主 题 宣 传 活 动 迎 接 党 的 十 八 大 一 是 发 挥 党 建 电 视 栏 目 作 用 强 化 宣 传

More information

zt

zt !" !"!"!###!$ !!" #$ %& ( $( )% &# *%!($!#!!%%!"%! &!)%!&"!* #($!& # (!! ?!!""#!$ % # & %!"#$%&"" ()))*)))+ (,)-#*),+./,),),)0 12122222+ (3333333+ 4),),),)0 (,)5677,+ ()))89))+ :;;5 $ # ( )$ # ( ($

More information

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

<4D6963726F736F667420576F7264202D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63> 一 九 八 八 年 创 刊 回 忆 与 研 究 第 十 六 辑 上 海 市 新 四 军 历 史 研 究 会 浙 东 浙 南 分 会 编 二 O 一 三 年 十 二 月 1 ( 封 面 折 页 字 ) 编 委 会 顾 问 丁 公 量 丁 柯 吴 文 达 汪 志 荣 戚 南 强 李 国 经 主 编 陈 晓 光 副 主 编 葛 奇 忠 方 思 朋 编 委 ( 按 姓 氏 笔 画 为 序 ) 方 思 朋 王

More information

萧山中学课程建设方案.doc

萧山中学课程建设方案.doc - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 2 2 4 4 4 2 2 4 4 4 4 4 5 5 4 4 4 4 5 5 4 4 4 4 5 5 3 3 3 3 4(2) 4(2) 4(2) 4(2) 4 4 4 4 3 3 3 3 3 3 4 4 4 4 4(2) 4(2) 4(2) 4(2) 2 2 2 2 4 4 4 4 2 2 2 2 2

More information

( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 121.2 117.5 125.8 122.0 130.9 126.8 135.4 131.5 140.3 136.0 144.9 140.2 153.5 147.9 160.6 154.7 165.1 160.3 167.7 163.9 169.3 165.8 169.6 166.7 170.0 167.8

More information

Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 3 九 评 之 一 评 共 产 党 是 什 么... 4 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pinggb_A4-f4.doc

Microsoft Word - 9pinggb_A4-f4.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 4 前 言...5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面 目 录 理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 1 信 息 交 流 省 编 办 刘 维 寅 副 主 任 到 我 市 对 市 县 政 府 机 构 改 革 工 作 进 行 实 地 评 估 11 我 市 部 分 部 门 试 点 实 行 部 门 内 部 行 政 审 批 制 度 改 革 工 作 13 我 市 三 项 措 施 确 保 机 构 编 制 监 督 活 动 常 态 化 14 基 层

More information

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现 前 言 日 本 是 我 们 的 邻 国 文 献 可 考 的 两 国 关 系, 至 少 已 有 21 个 世 纪 古 代 日 本 文 化 的 发 展 晚 于 中 国, 而 日 本 民 族 却 能 在 固 有 文 化 的 基 础 上 对 外 国 文 化 采 取 选 择 吸 收 和 创 新 的 态 度, 形 成 自 己 独 特 的 文 化 封 建 末 期, 中 国 和 日 本 同 受 西 方 列 强 的

More information

2深化教育教学改革、创新人才培养模式

2深化教育教学改革、创新人才培养模式 高 职 物 流 管 理 专 业 人 才 培 养 模 式 的 创 新 与 实 践 1 李 选 芒 2 赵 居 礼 摘 要 高 职 物 流 管 理 专 业 人 才 培 养 模 式 改 革 的 重 点 是 明 确 专 业 培 养 目 标, 构 建 适 应 培 养 目 标 的 课 程 体 系, 营 造 职 业 技 术 训 练 的 教 环 境, 建 设 双 师 结 构 的 师 资 队 伍 陕 西 工 业 职

More information

Microsoft Word - 9pinggb_let.doc

Microsoft Word - 9pinggb_let.doc 九 评 共 产 党 大 纪 元 系 列 社 论 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 录 公 告 大 纪 元 发 表 系 列 社 论 九 评 共 产 党... 4 九 评 之 一 评 共 产 党 是 什 么... 5 前 言... 5 一. 以 暴 力 恐 怖 夺 取 和 维 持 政 权... 5 二. 以 谎 言 为 暴 力

More information

Microsoft Word - 9pingb5_let.doc

Microsoft Word - 9pingb5_let.doc 九 評 共 產 黨 大 紀 元 系 列 社 論 2004 年 11 月 http://dajiyuan.com http://epochtimes.com 目 錄 公 告 大 紀 元 發 表 系 列 社 論 九 評 共 產 黨... 3 九 評 之 一 評 共 產 黨 是 甚 麼... 4 前 言... 4 一. 以 暴 力 恐 怖 奪 取 和 維 持 政 權... 5 二. 以 謊 言 為 暴 力

More information

103.06.23退休權益.ppt [相容模式]

103.06.23退休權益.ppt [相容模式] 臺 中 市 政 府 人 事 處 不 可 不 知 之 退 休 權 益 1 大 綱 一 退 休 撫 卹 理 論 二 現 行 退 休 制 度 (85 制 ) 三 再 任 停 止 領 受 月 退 休 金 及 優 惠 存 款 四 案 例 分 享 五 公 務 人 員 退 休 撫 卹 法 草 案 (90 制 ) 六 公 務 人 員 保 險 法 103 年 1 月 29 日 修 法 七 結 語 一 退 休 撫 卹

More information

Microsoft Word - 1.《國文》試題評析.doc

Microsoft Word - 1.《國文》試題評析.doc 許 清 龍 老 師 試 題 評 析 國 文 試 題 評 析 / 命 中 事 實 100 學 年 度 私 醫 聯 招 的 國 文 科 考 題, 有 上 課 的 同 學 應 該 發 出 會 心 一 笑, 甚 至 狂 笑, 因 為 老 師 的 命 中 率 實 在 太 高 了 除 了 基 本 的 字 音 字 形 字 義 成 語 的 題 型 外, 時 序 的 題 型 考 了 干 支 判 別 題 目 完 全 可

More information