,.,, IP,. ( FPGA ) SoC,. Xilinx DSPTM System Genera2 tor [8] Altera DSP BuilderTM [9] Simulink, FPGA.,. FPGA,, FPGA,., ARM FPGA SoC., SoC. 1,

Size: px
Start display at page:

Download "306 2009.,.,, IP,. ( FPGA ) SoC,. Xilinx DSPTM System Genera2 tor [8] Altera DSP BuilderTM [9] Simulink, FPGA.,. FPGA,, FPGA,., ARM FPGA SoC., SoC. 1,"

Transcription

1 ACTA ELECTRONICA SINICA Vol. 37 No. 2 Feb , 1, 1, 2, 1 (11, ;21, ) :, (SoC).. SoC,,. H1264. SoC,. : ; ; : TP368 : A : (2009) A Multiproce ssor Prototype and Its SoC De sign Methodology HUANG Kai 1,YIN Liao 1,LIN Feng2yi 1,GE Hai2tong 2,YAN Xiao2lang 1 (11 Institute of VLSI design, Zhejiang University, Hangzhou, Zhejiang , China ; 21 C2Sky Microsystems Company, Hangzhou, Zhejiang , China) Abstract : Fast development of embedded application drives the SoC design more complex. How to design multiprocessor SoC efficiently and reliably is becoming a challenge to the designers. To address this challenge,a new multiprocessor prototype and its SoC design methodology are proposed in this paper. It combines multi processors and their communication into one software2 hardware prototype in different abstraction levels. The method of seamless refinement from high level abstraction to low level VLSI implementation can design and verify the software/ hardware interface and improve designing software/ hardware architecture effi2 ciently. The experiment of H. 264 decoder shows the feasibility of multiprocessor prototype in both function and physical implemen2 tation. The seamless refinement method based on this prototype can ensure the correctness of SoC design and be helpful for its soft2 ware/ hardware architecture optimization. 1 Key words : multi2processor prototype ;System on Chip (SoC) ;software2hardware co2design, (SoC) IC., (MPSoC) [1]., MPSoC : (1) ; (2) ; (3) [2]., MPSoC, MPSoC [3]. SoC :,,. 2, SoC : (Virtual Prototype) ( Fast Prototype). ( SpecC System C ) SoC,, SoC [4]. SpecC [5],. CoWare ConvergenSC [6],Mentor Seamless CVE [7] SystemC,,, : ; :

2 ,.,, IP,. ( FPGA ) SoC,. Xilinx DSPTM System Genera2 tor [8] Altera DSP BuilderTM [9] Simulink, FPGA.,. FPGA,, FPGA,., ARM FPGA SoC., SoC. 1, SoC., : (1) SoC, ; (2), ; (3) ( ) ( ).. 3 SoC 311,,,,., : ( Simulink) (System C TLM) RTL (Verilog) FPGA(Xilinx) : (CPU SS) (Memory SS).,.., ( Multi2Core HAD Con2 troller) ( Performance Monitor Controller) (Cache OP. Snooper) (Power Management),.,,,, ;, ;,. 2( a), ( ),,. (Mail Box). 2( b),. (CPU SS Interface) AHB AHB, DMA. ( Cache Sync). ( SS Monitor),,. DMA. 2( b), DMA,,.., AHB

3 2 : 307., DMA. AHB ,. TAP..,.,. (AHB HPROT ).,. ( ),, ( a),,,. 3( b), : ( Hardware Dependent Software, HDS) ( Hardware Abstraction Layer, HAL).,, HDS API( 3 ( c) recv - data send - data).,. HDS,, ( GFIFO HWFIFO). uclinux Linux. HDS, HAL (Firmware), IO..,,. 312 SoC. SoC Simulink SoC [11], ( 1 2) Simulink

4 Simulink : Simulink Simulink. Simulink, ( S2function) Simulink. 1, ( C/ C + + ) Simulink,,. 2,Simulink Simulink ( CAAM),. CAAM,. CPU,.,Simulink CAAM XML Colif CAAM. Colif,, CAAM ( 3 4) Colif CAAM.,. : (Virtual Architecture,VA) (Transaction2Accurate,TA) (Virtu2 al Prototype,VP). : CPU.,. CPU.,.,,,., HDS. CPU., BFM CPU., HAL, HDS.,. ( ISS), HAL. 4, ( Hardware archi2 tecture Gen) (Multithread code Gen) Colif CAAM., ; Colif CAAM, CPU., Main Makefile ; ; HDS,.

5 2 : ( 5 6 7),., RTL. SoC Colif CAAM RTL, RTL. SoC (Config Parser) Colif CAAM, IP IP ( IP Integrator) ( Platform Gen). IP, IP,. IP,, (Regress).,RTL FPGA. 411 H1264 JM713, 30 QCIF Foreman. H1264 Simulink IF,5 FOR 101 Simulink. ( : GHz Intel Xeon) FPGA ( Virtex24 XC4VLX1602FF15) 2,VA (18 ),. TA, 30 %,. TA [13], 80 %,. VP ISS, 90 %,, CKCore,,,. 1, : CK510 Application VA TA VP FPGA CK520 ( ) CK560 (MMU) CK510E H frame 4s 18s 380s 4260s (DSP ) [11] QCIF Foreman (50M Hz). H1264 Timing Accuracy 0 % % 90 % 100 %, SoC H. 264 H1264 GNU GCC, 5 ( a) ( d)

6 H K, 300K,. VA, H , 1,3 4 Deblocking. 5 ( d), p2 p4 p1, MB 015K byte. TA VP HdS, HdS 17K: OS kernel OS C 118K 112K 318K, HAL DMA LCD H ( i) ( j), Cache 2K 4K 8K,H1264 Cache,8K Cache 9815 %, Cache (miss) (Refill),, Cache 8K Cache 90 %., 5 ( g),vp, Cache Cache.,,., CPU2, 70 % 16K 48K 32K, CPU2,. FPGA ( 8 256KB, DDR ) 5( i) Cache, (MO) ( 12 %)., H1264,. 5 ( h) ( xp x ),,. 5 ( j), : (comp, ), (idle, ) (comm, ). 2 P, 1 ( p1), 2( p2). p2, : Deblocking ( p2,4 P p3) ( p3,4 P p4). p1, : ( p1) ( p2). 4 P 1 P. 412 SMIC 0113 m, , AHB , CKCore 85 %. (Worst Case), 240MHz, 120MHz,, QCIF H SoC, SoC.,,. SoC,,. H1264. SoC,.,,. : [1] A A Jerraya,et al. Special Issue on MPSoC[J ]. IEEE Comput2 er,2005,38 (7) : [2 ] Grant Martin. Overview of the MPSoC Design Challenge [ A ]. 43th DAC[ C ]. New York :ACM, [ 3 ] Ahmed Jerraya, Wayne Wolf. Multiprocessor Systems2on2Chip [ M ]. San Francisco : Elsevier Morgan Kaufmann, [ 4 ] Keutzer K et al. System2level design :Orthogonalization of con2

7 2 : 311 cerns and platform2based design[j ]. IEEE Transaction On CAD of Integrated Circuits and Systems,2000,19 (12) : [5 ] D D Gajski,J Zhu, R Dgmer, A Gerstlauer, S Zhao. SpecC : Specification Language and Methodology [ M ]. Boston : Kluwer Academic Publishers, [ 6 ] CoWare,SoC platform2based design using Convergen SC/ Sys2 temc[ EB/ OL ],2002,http :/ / www. coware. com. [7] Mentor Graphics,Seamless CVE[ EB/ OL ],http :/ / www. men2 tor. com/ products/ fv/ hwsw - coverification/ seamless/. [ 8 ] Xilinx,System Generator for DSP Performing Hardware2in2the2 Loop With the Spartan? 23E Starter Kit [ DB/ OL ]. www. xil2 inx. com/ products/ boards/ s3 estarter/ files/ s3esk - sysgen - hw - in - loop. pdf. [9 ] Altera, DSP Builder [ DB/ OL ]. www. altera. com. cn/ prod2 ucts/ software/ products/ dsp/ dsp2builder. html. [ 10 ] ARM, ARM Integrator CP Baseboard [ DB/ OL ]. http :/ / www. arm. com/ documentation. [11 ],32 CPU CK2CORE [ EB/ OL ]. http :/ / www. c2sky. com/ product. p hp? id = 5. [12 ] Kai Huang,et al. Simulink Based MPSoC Design Flow : Cases study of Motion J PEG and H. 264 [ A ]. 44th DAC [ C ]. New York :ACM Press, [13 ] Yi, Y Kim, D, Ha, S. Virtual synchronization technique with OS modeling for fast and time2accurate cosimulation [ A ]. In Proceedings of the Design Automation and Test in Europe [ C ]. New York :ACM Press, :, ,, :MPSoC. E2mail zju. edu. cn,, :MPSoC.

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系 1 基 于 PRET 的 编 程 模 型 李 晓 飞, 陈 香 兰 ( 中 国 科 学 技 术 大 学 计 算 机 学 院, 合 肥 230039) 摘 要 : 时 间 可 预 测 性 在 信 息 物 理 系 统 设 计 领 域 正 变 得 越 来 越 重 要, 目 前 时 间 可 预 测 性 系 统 的 设 计 分 为 编 程 模 型 和 体 系 结 构 两 个 层 次, 编 程 模 型 的 研

More information

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A 2012 10 31 10 Mechanical Science and Technology for Aerospace Engineering October Vol. 31 2012 No. 10 Web2. 0 400030 SaaS B /S Web2. 0 Web2. 0 TP315 A 1003-8728 2012 10-1638-06 Design and Implementation

More information

Microsoft Word - 专论综述1.doc

Microsoft Word - 专论综述1.doc 2016 年 第 25 卷 第 期 http://www.c-s-a.org.cn 计 算 机 系 统 应 用 1 基 于 节 点 融 合 分 层 法 的 电 网 并 行 拓 扑 分 析 王 惠 中 1,2, 赵 燕 魏 1,2, 詹 克 非 1, 朱 宏 毅 1 ( 兰 州 理 工 大 学 电 气 工 程 与 信 息 工 程 学 院, 兰 州 730050) 2 ( 甘 肃 省 工 业 过 程 先

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

2 3. 1,,,.,., CAD,,,. : 1) :, 1,,. ; 2) :,, ; 3) :,; 4) : Fig. 1 Flowchart of generation and application of 3D2digital2building 2 :.. 3 : 1) :,

2 3. 1,,,.,., CAD,,,. : 1) :, 1,,. ; 2) :,, ; 3) :,; 4) : Fig. 1 Flowchart of generation and application of 3D2digital2building 2 :.. 3 : 1) :, 3 1 Vol. 3. 1 2008 2 CAA I Transactions on Intelligent Systems Feb. 2008, (,210093) :.,; 3., 3. :; ; ; ; : TP391 :A :167324785 (2008) 0120001208 A system f or automatic generation of 3D building models

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN 21 11 2011 11 COMPUTER TECHNOLOGY AND DEVELOPMENT Vol. 21 No. 11 Nov. 2011 510006 PEN3 5 PCA + PCA+LDA 5 5 100% TP301 A 1673-629X 2011 11-0177-05 Application of Electronic Nose in Discrimination of Different

More information

6 : W eb 827 ) [ 5 ] 211, : (1) (2),, (3) 212, [ 6-7 ], B /S,,,, 1 1 Fig11 Design of the system architecture

6 : W eb 827 ) [ 5 ] 211, : (1) (2),, (3) 212, [ 6-7 ], B /S,,,, 1 1 Fig11 Design of the system architecture 11 6 2009 12 JOURNAL OF GEO2INFORMATION SC IENCE Vol111, No16 Dec1, 2009 W eb,,, (, 100101) :, W eb, ( ),,,, : ; W ebgis; 1 2,,, [ 1 ] [ 2 ] [ 3 ], ;, ;, 3S ( GPS GIS RS), [ 4 ], 1 100 1 5 1 1,, W eb ;,

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

F4

F4 DOI:10.3969/j.issn.1009-6868.2016.01.002 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20151117.1506.006.html Challenges and Countermeasures of Network Space Security 周 延 森 /ZHOU Yansen 周 琳 娜

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Total Internet Connectivity in a Single Chip

Total Internet Connectivity in a Single Chip 基 于 SX 微 处 理 器 的 嵌 入 式 Internet 技 术 乐 德 广 及 其 应 用 系 统 的 设 计 1 1, 郭 东 辉, 刘 瑞 堂 1, Gerard Parr 2 1. 厦 门 大 学 技 术 物 理 研 究 所 厦 门 361005 2. 英 国 Ulster 大 学 信 息 学 院 N.Ireland, BT52 1SA 摘 要 : SX 微 处 理 器 是 美 国 Scenix

More information

* CUSUM EWMA PCA TS79 A DOI /j. issn X Incipient Fault Detection in Papermaking Wa

* CUSUM EWMA PCA TS79 A DOI /j. issn X Incipient Fault Detection in Papermaking Wa 2 *. 20037 2. 50640 CUSUM EWMA PCA TS79 A DOI 0. 980 /j. issn. 0254-508X. 207. 08. 004 Incipient Fault Detection in Papermaking Wastewater Treatment Processes WANG Ling-song MA Pu-fan YE Feng-ying XIONG

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

<4D6963726F736F667420576F7264202D203631372D3632312032303133303430333030312DBACEC0F25FD0A3B6D4B8E55F2DB6FED0A32D2D2DC8A5B5F4CDBCD6D0B5C4BBD8B3B5B7FBBAC52E646F63>

<4D6963726F736F667420576F7264202D203631372D3632312032303133303430333030312DBACEC0F25FD0A3B6D4B8E55F2DB6FED0A32D2D2DC8A5B5F4CDBCD6D0B5C4BBD8B3B5B7FBBAC52E646F63> 第 4 卷 第 2 期 食 品 安 全 质 量 检 测 学 报 Vol. 4 No. 2 2013 年 4 月 Journal of Food Safety and Quality Apr., 2013 何 莉 *, 姜 笑 寒 ( 广 东 省 食 品 药 品 职 业 技 术 学 校, 广 州 510663) 摘 要 : 本 文 通 过 科 学 地 运 用 html5+jsp+sql 技 术, 建

More information

标题

标题 012 Journal of Library Science in China 嘉 兴 模 式 的 延 伸 与 深 化 : 从 总 分 馆 体 系 到 图 书 馆 服 务 体 系 李 超 平 摘 要 嘉 兴 模 式 包 含 两 个 体 系 : 一 是 以 总 分 馆 为 核 心 的 公 共 图 书 馆 服 务 体 系, 二 是 跨 系 统 的 图 书 馆 服 务 联 盟 体 系 研 究 发 现, 从

More information

. STEM OER STEM 600 STEM CCSS STEM CCSS STEM ISTE Indiana Department of STEM Education 2013 STEM STEM STEM STEM STEM 10 STEM 2017 S

. STEM OER STEM 600 STEM CCSS STEM CCSS STEM ISTE Indiana Department of STEM Education 2013 STEM STEM STEM STEM STEM 10 STEM 2017 S 23 3 2017 6 Open Education Research Vol. 23 No. 3 Jun. 2017 STEM 1 2 1 1 1 1. 475004 2. 475004 STEM STEM STEM STEM STEM STEM STEM STEM STEM STEM STEM STEM STEM G443 A 1007-2179 2017 03-0050-12 STEM 2011

More information

g 100mv /g 0. 5 ~ 5kHz 1 YSV8116 DASP 1 N 2. 2 [ M] { x } + [ C] { x } + [ K]{ x } = { f t } 1 M C K 3 M C K f t x t 1 [ H( ω )] = - ω 2

g 100mv /g 0. 5 ~ 5kHz 1 YSV8116 DASP 1 N 2. 2 [ M] { x } + [ C] { x } + [ K]{ x } = { f t } 1 M C K 3 M C K f t x t 1 [ H( ω )] = - ω 2 10 2016 10 No. 10 Modular Machine Tool & Automatic Manufacturing Technique Oct. 2016 1001-2265 2016 10-0012 - 05 DOI 10. 13462 /j. cnki. mmtamt. 2016. 10. 004 * 116024 MIMO TH166 TG502 A Dynamic Performance

More information

Microsoft Word - A201009-646.doc

Microsoft Word - A201009-646.doc # 中 国 网 络 游 戏 外 挂 问 题 现 状 分 析 * 兰 晓, 尹 杰 ( 中 国 传 媒 大 学 信 息 工 程 学 院 ) 摘 要 : 网 络 游 戏 外 挂 的 泛 滥 严 重 阻 碍 了 中 国 网 络 游 戏 产 业 的 正 常 发 展 本 文 给 出 了 网 络 游 戏 外 挂 的 定 义, 并 对 当 前 中 国 网 络 游 戏 存 在 的 安 全 问 题 进 行 了 分 析,

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft Word - A201210-60_1349949005.doc

Microsoft Word - A201210-60_1349949005.doc 5 10 15 20 25 一 种 针 对 在 线 旅 游 线 路 网 页 判 别 算 法 的 研 究 与 实 现 徐 显 炼, 郭 燕 慧 ( 北 京 邮 电 大 学 信 息 安 全 中 心, 北 京 100876) 摘 要 : 随 着 近 年 来 在 线 旅 游 业 的 快 速 发 展, 在 线 旅 游 搜 索 引 擎 己 经 成 为 当 前 搜 索 引 擎 发 展 的 一 个 热 门 方 向

More information

59 1 CSpace 2 CSpace CSpace URL CSpace 1 CSpace URL 2 Lucene 3 ID 4 ID Web 1. 2 CSpace LireSolr 3 LireSolr 3 Web LireSolr ID

59 1 CSpace 2 CSpace CSpace URL CSpace 1 CSpace URL 2 Lucene 3 ID 4 ID Web 1. 2 CSpace LireSolr 3 LireSolr 3 Web LireSolr ID 58 2016. 14 * LireSolr LireSolr CEDD Ajax CSpace LireSolr CEDD Abstract In order to offer better image support services it is necessary to extend the image retrieval function of our institutional repository.

More information

概述

概述 100872 1999~2003 financial management 50 1 2 2001 Keating1995 2001 Eisenhardt1989 1 19 20 50 2 2001 1 2001 20 case 3 4 case study Shaw1927 Yin1988 how why 1982 5 2002 Piet2003 6 3 4 5 6 2003 2 Yin1988

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315.

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315. 31 5 2011 10 JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct. 2011 1000-1301 2011 05-0075 - 09 510405 1 /35 TU3521 P315. 8 A Earthquake simulation shaking table test and analysis

More information

Dan Buettner / /

Dan Buettner / / 39 1 2015 1 Vol. 39 No. 1 January 2015 74 Population Research 80 + /60 + 90 + 90 + 0 80 100028 Measuring and Comparing Population Longevity Level across the Regions of the World Lin Bao Abstract Appropriate

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

Microsoft Word - 11-秦华伟.doc

Microsoft Word - 11-秦华伟.doc 热 带 海 洋 学 报 JOURNAL OF TROPICAL OCEANOGRAPHY 海 洋 调 查 与 观 测 仪 器 doi:10.3969/j.issn.1009-5470.2013.01.011 2013 年 第 32 卷 第 1 期 : 76 80 http://www.jto.ac.cn * 应 用 于 龟 山 岛 热 液 喷 口 探 寻 的 散 射 光 式 水 下 浊 度 仪 研

More information

2013_6_3.indd

2013_6_3.indd 中 国 科 技 资 源 导 刊 ISSN 1674-1544 2013 年 11 月 第 45 卷 第 6 期 95-99, 107 CHINA SCIENCE & TECHNOLOGY RESOURCES REVIEW ISSN 1674-1544 Vol.45 No.6 95-99, 107 Nov. 2013 构 建 基 于 大 数 据 的 智 能 高 校 信 息 化 管 理 服 务 系 统

More information

31 17 www. watergasheat. com km 2 17 km 15 km hm % mm Fig. 1 Technical route of p

31 17 www. watergasheat. com km 2 17 km 15 km hm % mm Fig. 1 Technical route of p 31 17 215 9 CHINA WATER & WASTEWATER Vol. 31 No. 17 Sep. 215 < > 232 SUSTAIN BMP SUSTAIN TU992 C 1-462 215 17-111 - 8 Planning and Design of Sponge City Case Study of Beijing Yongding River Ecological

More information

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli 1 2 3 1. 310030 2. 100054 3. 116000 CFS BP doi 10. 13928 /j. cnki. wrahe. 2016. 04. 020 TV697. 1 A 1000-0860 2016 04-0088-05 Abandoned water risk ratio control-based reservoir pre-discharge control method

More information

2012 3 Kingdon 1984 2008 8

2012 3 Kingdon 1984 2008 8 * D8 A 1674-2486 2012 03-0007 - 23 * 2011 KBH3548573 985 2012SHKXQN013 2012 3 7 2012 3 Kingdon 1984 2008 8 2012 3 2005 Kingdon 1984 2010 3 23 8 7 9 1 2010 2010 10 2012 3 1 2010 1 3 23 8 40 4 12 2 8 40

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f 27 1 Vol.27 No.1 CEMENTED CARBIDE 2010 2 Feb.2010!"!!!!"!!!!"!" doi:10.3969/j.issn.1003-7292.2010.01.011 OPC 1 1 2 1 (1., 412008; 2., 518052), OPC, WinCC VB,,, OPC ; ;VB ;WinCC Application of OPC Technology

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管 第 6 卷 第 1 期 011 年 月 Chinese 中 国 Journal 舰 of船 Ship研 Research 究 Vol.6 No.1 Feb. 第 011 6 卷 doi: 10. 3969 / j. issn. 1673-3185. 011. 01. 017 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 常 壮 1 邱 金 水 刘 伯 运 1

More information

98支用計畫書-報部 修改.doc

98支用計畫書-報部 修改.doc 1. ( )284 97 97 23 60 140 53 8 284 90 16 165 2. 3 56 276 8 424 (1) (2) (3) (4) (5) 1 3. 276 23 8 60 22 140 51 53 19 81% 97 10 15 29.58( ) 30.06 21.57 64.33%( ) 4. 276 179 64.9 92 33.3% 13 4.7% 5. 6. 1.

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

,, 2,,,,,,,,, S7-400 PLC, F M mm ;, AGC 6 mm ;,, 3 AGC AFC ( ) ( ), I/O ET 200M, PROFIBUS-DP S7 400 PLC 1 S7-400 PLC ( HMI) ET200M, PROFIBUS

,, 2,,,,,,,,, S7-400 PLC, F M mm ;, AGC 6 mm ;,, 3 AGC AFC ( ) ( ), I/O ET 200M, PROFIBUS-DP S7 400 PLC 1 S7-400 PLC ( HMI) ET200M, PROFIBUS (1., 244000;2., 200240) S7-400PLC 1 2, 1, 2 :TP273 :B :1003-7241(2013)10-0036-06 Application of S7-400 PLC in Non-ferrous Metal Plate and Strip Mill Control System ZHAN Fei 1, ZHAN Kai 2 (1.Tongling Jinvi

More information

. 3. MOOC 2006 MOOC Automated Text Marker 2014 e-rater Yigal et al MOOC Coursera Edx 97

. 3. MOOC 2006 MOOC Automated Text Marker 2014 e-rater Yigal et al MOOC Coursera Edx 97 23 3 2017 6 Open Education Research Vol. 23 No. 3 Jun. 2017 1 2 1 2 1. 100875 2. 100875 PPT G434 A 1007-2179 2017 03-0096-09 Coursera Edx MOOC 100 2 3- social network services SNS 4 2008 2009 2017-03-

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

182 第 41 卷 方面发挥了重要作用 因此研究留日物理学生是中 国近现代物理学史研究的一项重要内容 出身 并任翰林院编修 不久 云贵总督李经羲上 奏 要求调其回云南兴办新学 他欣然回滇办学宣 1 统二年( 1910) 他接任云南优级师范学堂监督 兼 专任理化教员 负责筹办云南工矿学堂 并担任第一

182 第 41 卷 方面发挥了重要作用 因此研究留日物理学生是中 国近现代物理学史研究的一项重要内容 出身 并任翰林院编修 不久 云贵总督李经羲上 奏 要求调其回云南兴办新学 他欣然回滇办学宣 1 统二年( 1910) 他接任云南优级师范学堂监督 兼 专任理化教员 负责筹办云南工矿学堂 并担任第一 2011 年 2 月 第 41 卷第 1 期 Feb 2011 Vol 41 No 1 Journal of Northwest University ( Natural Science Edition) 清末民初留日物理学生及其科学贡献 咏 1 2 3 梅 冯立昇 ( 1 北京科技大学 冶金与材料史研究所 北京 100083; 2 内蒙古师范大学 科学技术史研究院 呼和浩特 010022; 3 清华大学

More information

a a a 1. 4 Izumi et al Izumi & Bigelow b

a a a 1. 4 Izumi et al Izumi & Bigelow b 26 2012 2 * 10 6 1996 2002 2006 1996 2007 2004 2004 60 4 30 1998 2006 2006-2007 1. 1 * ' 2010 2011 254 2000 2005a 1999 3 2000 2004 2008 1. 2 2004 2005a 1. 3 1 2 3 4 5 4 2000 2004 2005a 1. 4 Izumi et al.

More information

/MPa / kg m - 3 /MPa /MPa 2. 1E ~ 56 ANSYS 6 Hz (a) 一阶垂向弯曲 (b) 一阶侧向弯曲 (c) 一阶扭转 (d) 二阶侧向弯曲 (e) 二阶垂向弯曲 (f) 弯扭组合 2 6 Hz

/MPa / kg m - 3 /MPa /MPa 2. 1E ~ 56 ANSYS 6 Hz (a) 一阶垂向弯曲 (b) 一阶侧向弯曲 (c) 一阶扭转 (d) 二阶侧向弯曲 (e) 二阶垂向弯曲 (f) 弯扭组合 2 6 Hz 31 3 Vol. 31 No. 3 218 9 Journal of Shijiazhuang Tiedao University Natural Science Edition Sep. 218 1 1 2 1 2 1 1. 543 2. 543 U462. 3 217-2 - 16 A 295-373 218 3-63 - 6 1-4 5-7 8-11 1 11 11 398 mm 86 mm

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

30 2008,2(1) 基 礎 上, 各 種 數 據 均 以 圖 形 化 方 式 表 達, 因 此 各 級 分 析 結 果 均 可 以 隨 時 檢 驗 另 外, 由 於 系 統 是 以 網 站 形 式 發 佈, 任 何 用 戶 均 可 通 過 網 絡 查 詢 瀏 覽 系 統 中 的 數 據, 因

30 2008,2(1) 基 礎 上, 各 種 數 據 均 以 圖 形 化 方 式 表 達, 因 此 各 級 分 析 結 果 均 可 以 隨 時 檢 驗 另 外, 由 於 系 統 是 以 網 站 形 式 發 佈, 任 何 用 戶 均 可 通 過 網 絡 查 詢 瀏 覽 系 統 中 的 數 據, 因 第 2 卷 第 1 期 澳 門 科 技 大 學 學 報 Vol.2 No.1 2008 年 6 月 30 日 Journal of Macau University of Science and Technology June 30, 2008 29 月 球 探 測 數 據 實 時 管 理 系 統 的 開 發 朱 紅 岷 **, 祝 夢 華, 劉 良 鋼 *, 許 敖 敖 ( 澳 門 科 技 大 學

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

f 2 f 2 f q 1 q 1 q 1 q 2 q 1 q n 2 f 2 f 2 f H = q 2 q 1 q 2 q 2 q 2 q n f 2 f 2 f q n q 1 q n q 2 q n q n H R n n n Hessian

f 2 f 2 f q 1 q 1 q 1 q 2 q 1 q n 2 f 2 f 2 f H = q 2 q 1 q 2 q 2 q 2 q n f 2 f 2 f q n q 1 q n q 2 q n q n H R n n n Hessian 2012 10 31 10 Mechanical Science and Technology for Aerosace Engineering October Vol. 31 2012 No. 10 1 2 1 2 1 2 1 2 1 300387 2 300387 Matlab /Simulink Simulink TH112 A 1003-8728 2012 10-1664-06 Dynamics

More information

~ 10 2 P Y i t = my i t W Y i t 1000 PY i t Y t i W Y i t t i m Y i t t i 15 ~ 49 1 Y Y Y 15 ~ j j t j t = j P i t i = 15 P n i t n Y

~ 10 2 P Y i t = my i t W Y i t 1000 PY i t Y t i W Y i t t i m Y i t t i 15 ~ 49 1 Y Y Y 15 ~ j j t j t = j P i t i = 15 P n i t n Y * 35 4 2011 7 Vol. 35 No. 4 July 2011 3 Population Research 1950 ~ 1981 The Estimation Method and Its Application of Cohort Age - specific Fertility Rates Wang Gongzhou Hu Yaoling Abstract Based on the

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

,,,, (1983) (1984), (1) (6), : (1) a. ( ) b. ( ) (2) a. ( ) b. ( ) (3) a. ( ) b. ( ) (4) a. ( ) b. ( ) (5) a. ( ) b. ( ) (6) a. ( ) b. ( ) (1

,,,, (1983) (1984), (1) (6), : (1) a. ( ) b. ( ) (2) a. ( ) b. ( ) (3) a. ( ) b. ( ) (4) a. ( ) b. ( ) (5) a. ( ) b. ( ) (6) a. ( ) b. ( ) (1 /,, ( ),, ; ; ;?,,,,,,,,, ( ) ( ), ; ; ;?,, :, ;, 147 23 2009 2,,,, (1983) (1984), (1) (6), : (1) a. ( ) b. ( ) (2) a. ( ) b. ( ) (3) a. ( ) b. ( ) (4) a. ( ) b. ( ) (5) a. ( ) b. ( ) (6) a. ( ) b. ( )

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

2. 3. 1 2 TI 3 TI TABLE 4 RANDBIN 5 6 172 6 Research of Modern Basic Education 2012 6

2. 3. 1 2 TI 3 TI TABLE 4 RANDBIN 5 6 172 6 Research of Modern Basic Education 2012 6 6 2012 6 Research of Modern Basic Education Vol. 6 June 2012 201200 20 1. G 1976-171 2. 3. 1 2 TI 3 TI TABLE 4 RANDBIN 5 6 172 6 Research of Modern Basic Education 2012 6 1 GPS 4. 01 TI - nspire cx 1.

More information

200 31 5 SWAN 0. 01 0. 01 6 min TITAN Thunder Identification Tracking Analysis SWAN TITAN and Nowcasting 19 TREC Tracking Radar Echo by Correlaction T

200 31 5 SWAN 0. 01 0. 01 6 min TITAN Thunder Identification Tracking Analysis SWAN TITAN and Nowcasting 19 TREC Tracking Radar Echo by Correlaction T 31 1 2013 3 Journal of Arid Meteorology Vol. 31 No. 1 March 2013. SWAN J. 2013 31 1 199-205. doi 10. 11755 /j. issn. 1006-7639 2013-01 - 0199 SWAN 730020 2011 7 7 SWAN SWAN 2012 2 SWAN 1006-7639 2013-01

More information

一般社団法人電子情報通信学会 信学技報 THE INSTITUTE OF ELECTRONICS, IEICE Technical Report INFORMATION THE INSTITUTE OF AND ELECTRONICS, COMMUNICATION ENGINEERS IEICE L

一般社団法人電子情報通信学会 信学技報 THE INSTITUTE OF ELECTRONICS, IEICE Technical Report INFORMATION THE INSTITUTE OF AND ELECTRONICS, COMMUNICATION ENGINEERS IEICE L 一般社団法人電子情報通信学会 信学技報 THE INSTITUTE OF ELECTRONICS, IEICE Technical Report INFORMATION THE INSTITUTE OF AND ELECTRONICS, COMMUNICATION ENGINEERS IEICE LOIS2016-85(2017-03) Technical Report INFORMATION AND

More information

9, : Java 19., [4 ]. 3 Apla2Java Apla PAR,Apla2Java Apla Java.,Apla,,, 1. 1 Apla Apla A[J ] Get elem (set A) A J A B Intersection(set A,set B) A B A B

9, : Java 19., [4 ]. 3 Apla2Java Apla PAR,Apla2Java Apla Java.,Apla,,, 1. 1 Apla Apla A[J ] Get elem (set A) A J A B Intersection(set A,set B) A B A B 25 9 2008 9 M ICROEL ECTRON ICS & COMPU TER Vol. 25 No. 9 September 2008 J ava 1,2, 1,2, 1,2 (1, 330022 ; 2, 330022) :,. Apla - Java,,.. : PAR ;Apla - Java ; ;CMP ; : TP311 : A : 1000-7180 (2008) 09-0018

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

Microsoft Word - shenbaocailiao.doc

Microsoft Word - shenbaocailiao.doc 附 件 13: 陕 西 高 等 学 校 本 科 实 验 教 学 示 范 中 心 申 请 书 推 荐 单 位 : 西 北 工 业 大 学 明 德 学 院 学 校 名 称 : 西 北 工 业 大 学 明 德 学 院 中 心 名 称 : 电 子 与 通 信 实 验 教 学 中 心 中 心 网 址 : http://www.npumd.cn/teach/mingde/index.htm 中 心 联 系 电

More information

EL ECTR IC MACH IN ES AND CON TROL Vol113 No11 Jan. 2009,, (, ) :, X 2Y,,,,,,, P ID P ID P ID,, : ; ; ; P ID : TM33 : A : X

EL ECTR IC MACH IN ES AND CON TROL Vol113 No11 Jan. 2009,, (, ) :, X 2Y,,,,,,, P ID P ID P ID,, : ; ; ; P ID : TM33 : A : X 13 1 2009 1 EL ECTR IC MACH IN ES AND CON TROL Vol113 No11 Jan. 2009,, (, 518060) :, X 2Y,,,,,,, P ID P ID P ID,, : ; ; ; P ID : TM33 : A : 1007-449X (2009) 01-0022- 06 Analysis and control of a novel

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft Word - 201506定版

Microsoft Word - 201506定版 56 Chinese Journal of Library and Information Science for Traditional Chinese Medicine Dec. 2015 Vol. 39 No. 6 综 述 中 医 药 学 语 言 系 统 研 究 综 述 于 彤, 贾 李 蓉, 刘 静, 杨 硕 *, 董 燕, 朱 玲 中 国 中 医 科 学 院 中 医 药 信 息 研 究 所,

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

PCIM15 Exhibitor Manual _S Chi_

PCIM15 Exhibitor Manual _S Chi_ 上 海 国 际 电 力 元 件 可 再 生 能 源 管 理 展 览 会 参 展 商 手 册 2015 年 6 月 24 至 6 月 26 日 上 海 世 博 展 览 馆 中 国 上 海 内 附 重 要 信 息 请 在 截 止 日 期 前 将 表 格 反 馈 我 处 亲 爱 的 参 展 商 : 欢 迎 参 加 PCIM Asia 2015 上 海 国 际 电 力 元 件 可 再 生 能 源 管 理 展

More information

6 ( ) 36 5 %, 70,, ;,,,,,,,,,,,,,,,,,,,,,,,, :,,,,,,,, :,,,, ( ),,,,, :,,,, [1 ]379,,,,,,,,,,, 20 50,,,, 2005 4 15

6 ( ) 36 5 %, 70,, ;,,,,,,,,,,,,,,,,,,,,,,,, :,,,,,,,, :,,,, ( ),,,,, :,,,, [1 ]379,,,,,,,,,,, 20 50,,,, 2005 4 15 36 5 2006 9 ( ) Journal of Zhejiang University( Humanities and Social Sciences) Vol. 36, No. 5 Sep. 2006 : :,, 1. 2,,,,,,,,,,,,,,,, ( ) ;,,,,, : (, 100720) [ ],,,,,, [ ] ; ; ; [ ] C91 [ ] A [ ] 1008 942X(2006)

More information

. 1 4 Web PAD

. 1 4 Web PAD 20 1 2014 2 Open Education Research Vol. 20 No. 1 Feb. 2014 1 2 1. 100875 2. - 100875 G434 A 1007-2179 2013 06-0100 - 11 10 2013 2013 Microlecture Minicourse Microlesson Microlecture A Le Roy A. McGrew

More information

IT 36% Computer Science Teachers Association, CSTA K K-12 CSTA K-12 K-12 K-6 K6-9 K STEM STEM STEM

IT 36% Computer Science Teachers Association, CSTA K K-12 CSTA K-12 K-12 K-6 K6-9 K STEM STEM STEM 2017 4 357 GLOBAL EDUCATION Vol. 46 No4, 2017 K-12 2016 K-12 K-12 / 200062 / 200062 2015 8 2015 STEM STEM 1 Computer Science Association for Computing Machinery ACM Code Computer Science Teachers Association

More information

Learning Java

Learning Java Java Introduction to Java Programming (Third Edition) Prentice-Hall,Inc. Y.Daniel Liang 2001 Java 2002.2 Java2 2001.10 Java2 Philip Heller & Simon Roberts 1999.4 Java2 2001.3 Java2 21 2002.4 Java UML 2002.10

More information

标题

标题 第 33 卷 摇 第 9 期 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 014 年 9 月 情 摇 报 摇 杂 摇 志 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 摇 JOURNAL OF INTELLIGENCE Vol. 33 摇 No. 9 Sep. 摇 014 基 于 专 利 的 大 数 据 技 术 发 展 情 报 * 分 析 及 战 略 研 究 1 1 李 鹏 飞 摇 卢 摇

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

64 19 2007 8 2 3 2008 4 4 510 Centre- 2005 4 link 2005 2007 2007 2 2006 2007 2005 10 13 2007 11 3 29 4 Ernst Hass integration 1 1 2006 7 5

64 19 2007 8 2 3 2008 4 4 510 Centre- 2005 4 link 2005 2007 2007 2 2006 2007 2005 10 13 2007 11 3 29 4 Ernst Hass integration 1 1 2006 7 5 19 7 Vol. 19 No. 7 2011 7 PACIFIC JOURNAL July 2011 1 1. 100081 DF981 A 1004-8049 2011 07-0063 - 13 1 2010-12-09 2011-04-20 2011 20110002001 1970 1 2010 11 15-16 64 19 2007 8 2 3 2008 4 4 510 Centre- 2005

More information

Microsoft Word - 95年報.doc

Microsoft Word - 95年報.doc 股 票 代 號 :5351 95 年 度 年 報 中 華 民 國 九 十 六 年 五 月 十 五 日 刊 印 本 年 報 查 詢 網 址 :http://newmops.tse.com.tw http://www.etron.com.tw 一 公 司 發 言 人 代 理 發 言 人 姓 名 職 稱 電 話 及 電 子 郵 件 信 箱 發 言 人 代 理 發 言 人 姓 名 : 徐 初 發 郎 文 郁

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

http / /yxxy. cbpt. cnki. net / % % %

http / /yxxy. cbpt. cnki. net / % % % 2017 3 Mar. 2017 5 2 Chongqing Higher Education Research Vol. 5 No. 2 DOI 10. 15998 /j. cnki. issn1673-8012. 2017. 02. 006 230039 2011 2015 2016 G649. 21 A 1673-8012 2017 02-0037-11 2017-01-03 2015zdjy024

More information

142 2 330 3 105 4 87 5 115 6 322 7 168 8 34 42 166 4 186 2 103 1 1 9 63 10 59 7 1. 2. 3. 4. 11 126 7 12 126 1 2013 309

142 2 330 3 105 4 87 5 115 6 322 7 168 8 34 42 166 4 186 2 103 1 1 9 63 10 59 7 1. 2. 3. 4. 11 126 7 12 126 1 2013 309 2015 2 141 1674-5205 2015 02-0141- 011 300071 2013-08 - 20 Abstract The essence of the principle of shareholder s equality is stock equality i. e. the same shares have the same rights different shares

More information

标题

标题 DOI:10 郾 15942 / j. jcsu. 2016. 03. 012 成 都 体 育 学 院 学 报 2016 年 ( 第 42 卷 ) 第 3 期 Journal of Chengdu Sport University Vol. 42 No. 3. 2016 德 国 足 球 甲 级 联 赛 的 历 史 演 进 与 支 持 系 统 分 析 Analysis on the Historical

More information

ELECTRIC DRIVE FOR LOCOMOTIVES The Research and Development of Modern Railway Traction and Control Technology (CSR Zhuzhou Institute Co., Ltd., Zhuzhou, Hunan 412001, China) Abstract: The technology of

More information

Microsoft Word - A200810-897.doc

Microsoft Word - A200810-897.doc 基 于 胜 任 特 征 模 型 的 结 构 化 面 试 信 度 和 效 度 验 证 张 玮 北 京 邮 电 大 学 经 济 管 理 学 院, 北 京 (100876) E-mail: weeo1984@sina.com 摘 要 : 提 高 结 构 化 面 试 信 度 和 效 度 是 面 试 技 术 研 究 的 核 心 内 容 近 年 来 国 内 有 少 数 学 者 探 讨 过 基 于 胜 任 特 征

More information

N

N 2016 9 38 5 Modern Law Science Sep. 2016 Vol. 38 No. 5 1001-2397 2016 05-0104 - 11 200042 DF 623 A DOI 10. 3969/j. issn. 1001-2397. 2016. 05. 09 2014 12 1 2015 12 3 1913 1912 2 1 10. 5 1883 2014 3 3 5

More information

/ ebook - book / 43

/ ebook - book / 43 2018 /1 38 183 * 214122 210023 CALIS 57 G253 A 1003-7845 2018 01-0042 - 11. J. 2018 1 42-52 1 80 1 30 2012 1998 95% Softbook Rocket book 5 2016 100% 2 20 2010 16 666 2016 47 183 6 2011 65 211 U 761 306

More information

KUKA W. Polini L. Sorrentino Aized Shirinzadeh 6 7 MF Tech Pitbull Fox Taniq Scorpo Scorpo Compositum Windows KUKA 1 P 1 P 2 KU

KUKA W. Polini L. Sorrentino Aized Shirinzadeh 6 7 MF Tech Pitbull Fox Taniq Scorpo Scorpo Compositum Windows KUKA 1 P 1 P 2 KU 23 5 2018 10 Vol. 23 No. 5 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Oct. 2018 1 1 2 2 1. 150080 2. 150080 Matlab AD- AMS CAD DOI 10. 15938 /j. jhust. 2018. 05. 016 TP391. 9 A 1007-2683 2018

More information

56 包 装 工 程 1.2 眼 动 跟 踪 技 术 介 入 APP 图 形 用 户 界 面 可 用 性 评 估 眼 动 仪 是 基 于 眼 动 轨 迹 跟 踪 的 装 置, 用 于 测 量 眼 睛 的 位 置 和 眼 球 运 动 眼 动 仪 是 用 于 人 类 视 觉 系 统 心 理 学 认 知

56 包 装 工 程 1.2 眼 动 跟 踪 技 术 介 入 APP 图 形 用 户 界 面 可 用 性 评 估 眼 动 仪 是 基 于 眼 动 轨 迹 跟 踪 的 装 置, 用 于 测 量 眼 睛 的 位 置 和 眼 球 运 动 眼 动 仪 是 用 于 人 类 视 觉 系 统 心 理 学 认 知 第 36 卷 第 8 期 包装工程 PACKAGING ENGINEERING 55 基于眼动仪的智能手机 APP 图形用户界面设计可用性评估 常方圆 上海出版印刷高等专科学校 上海 200093 摘要 目的 用眼动追踪技术对APP用户图形界面设计原型进行可用性评估 方法 方法 采用创新的图形要素 分离概念 设计 3 组不同的平行设计测试 以兴趣区为范围 使用眼动仪收集主要眼动轨迹数据 如首次 进入时间

More information

山东省招生委员会

山东省招生委员会 附 件 2: 2012 年 度 山 东 大 学 精 品 课 程 申 报 表 课 程 名 称 课 程 负 责 人 所 属 院 系 网 站 建 设 与 设 计 连 莉 副 教 授 计 算 机 学 院 课 程 类 型 理 论 课 ( 不 含 实 践 ) 理 论 课 ( 含 实 践 ) 实 践 ( 验 ) 课 所 属 专 业 大 类 所 属 专 业 类 理 工 电 子 信 息 科 学 类 联 系 电 话 13256167020

More information

Abstract: By the textual research between region and landscape, it is believed in the paper that the regional information is a pivotal characteristic for one city to distinguish from others. The author

More information

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工 2014 年 电 气 工 程 及 其 自 动 化 专 业 教 学 质 量 年 度 报 告 1 专 业 发 展 概 况 ( 包 括 专 业 发 展 历 程 专 业 办 学 的 经 费 投 入 图 书 资 料 实 室 等 基 本 教 学 条 件 在 校 学 生 数 生 师 比 一 志 愿 录 取 率 新 生 报 到 率 学 生 转 入 和 转 出 人 数 ) 上 海 师 范 大 学 信 息 与 机 电

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information