Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 2015 The MathWorks, Inc. 1

2 运用 MATLAB 加速嵌入式算法开发 MathWorks China 应用工程师单博 2015 The MathWorks, Inc. 2

3 主要内容 嵌入式应用仿真 浮点模型转化为定点模型的工作流程 自动收集数据并建议数据类型 推导数据类型 将定点模型自动转换为嵌入式 C 代码 代码生成与优化 验证 Polyspace 硬件连接 国内典型用户案例分析 3

4 嵌入式系统 手机 pad 家电 网络设备 工业控制 仪器仪表 医疗 汽车 飞机 机器人 物联网 GM Mass Production 4

5 嵌入式算法的设计挑战 MATLAB / SIMULINK 算法设计 FIXED-POINT DESIGNER 浮点到定点的转换 设计狮 定点化过程会占据总设计时间超过 25%+ MATLAB CODER SIMULINK CODER EMBEDDED CODER HDL CODER Gen Gen C/C++ VHDL/Verilog FPGA MCU ASIC DSP FPGA ASIC 5

6 What is floating-point? Characterized by SIGN bit, MANTISSA(Fraction) and EXPONENT IEEE 754 Single Precision format (Normalized): 32 bits word size(single) Use of three separate fields increases both range (Exponent size) and precision (Fraction size) of floating point numbers Above picture from 6

7 What is fixed-point? Characterized by single WORD with fixed RADIX Point Use fractional numbers without floating point For a fixed size, trade-off between Precision and Range 7

8 嵌入式目标器件 DSP/MCU (TI, Analog Devices, etc.) 定点 DSP 比浮点 DSP 便宜很多 定点 DSP 的功耗更低 定点 DSP 的时钟频率更高 固定字长 FPGA (Xilinx, Altera, etc.) 在定点 FPGA 实现中, 字长每增加 1 个比特都意味着消耗更多的片上资源和功耗 设计师可以改变字长 8

9 Example: 定点 C 手工实现 void differentialeq( void ) { /* Implements a fixed point first order difference equation */ int Prod; long Accum; static short lastval=0; short a=0x7eb8; // 0.99 in s16,15 short oneminusa=0x0148; //.01 in s16,15 short temp; 把变量转换成整形 需要很多注释帮助理解代码 Prod = galg_in1 * galg_in1; temp = Prod >> 15; Accum = a*lastval + oneminusa*temp; 跟踪二进制小数点位置 galg_out1 = (short)(accum >> 15); lastval = galg_out1; } 没有饱和或取整 9

10 DSP/MCU/FPGA 上需要做的定点工作 芯片做整数运算, 不是定点运算. 定点工作需要你自己做. 加减法 : 你需要对齐二进制小数点 (>> or <<), 然后相加. 乘法 : 整数相乘, 然后你解释乘积的二进制小数点. 除法 : 你很可能用不了 /. 你要自己写个函数或者调用库函数. 开方 : 不能用标准库函数, 你要自己写一个函数. 取整 / 饱和 : 你想要? 你自己做. 10

11 Fixed Point Tradeoffs Consideration Floating Point Fixed Point Fixed Point with MathWorks Tools RAM/ROM 消耗 执行速度 硬件功耗 硬件成本 开发时间 实现复杂度 出错率 11

12 定点的弊端及解决方案 弊端 1 开发时间更长 解决方案 采用仿真 自动化验证和自动化量化工具将会缩短开发时间和减少开发资源 弊端 2 因为实现复杂经常引入错误解决方案采用快速原型, 在环测试, 产品级代码生成手段能明显地帮助减少错误 弊端 3 动态范围变小引入量化误差解决方案合理的选择定标 ( 小数点位置 ) 和字长 ( 硬件限制 ) 能减少量化误差 12

13 MATLAB/Simulink 定点化工作流程 已有代码 ( 浮点 ) 已有测试激励 ( 定点 ) 定点转换的准备工作 Make Project Set Input Type 收集取值范围 自动建议数据类型 生成定点 MATLAB 代码 测试 生成代码 Yes 满足需求? No 14

14 例子 工作流程 : 浮点模型 -> 定点模型 -> C 仿真 vs 推导 1 打开 MATLAB Coder APP 2 使能 Fixed-point conversion 选择被测 m 文件 定点转换 生成 C 代码 15

15 例子 3 选择 testbench 文件 自动识别被测文件的输入输出数据类型 实现约束 多形性 内存分配 矩阵行列处理 定点数据类型 function a= foo(b,c) a = b * c; C double foo(double b, double c) { return b*c; } void foo(const double b[15], const double c[30], double a[18]) { int i0, i1, i2; for (i0 = 0; i0 < 3; i0++) { for (i1 = 0; i1 < 6; i1++) { a[i0 + 3 * i1] = 0.0; for (i2 = 0; i2 < 5; i2++) { a[i0 + 3 * i1] += b[i0 + 3 * i2] * c[i2 + 5 * i1]; } } } } 16

16 例子 4 定点化配置 定点化模式 C 代码生成 : 固定字长自动建议小数位 HDL 代码生成 : 固定小数位自动建议字长 以目标器件类型 字长为 8bit 的整数倍 17

17 例子 : 用仿真结果自动建议定点类型 多个测试文件批量仿真 测试代码覆盖率用颜色区分 测试文件 1 测试文件 2 仿真过程中记录变量的最大最小值 自动推荐的定点类型, 字长是 8bit 的倍数 18

18 例子 : 推导并自动建议定点类型 根据输入和程序推导得到变量的最大最小值 Not reached 19

19 例子 : 接受定点化 自动生成定点转换报告 自动生成定点的 m 文件用于后续系统定点仿真 20

20 例子 : 自动生成 C 代码 选择目标硬件器件生成 C 源代码知识产权归客户 21

21 嵌入式算法的设计挑战 MATLAB / SIMULINK 算法设计 FIXED-POINT DESIGNER 浮点到定点的转换 设计狮 MATLAB CODER SIMULINK CODER EMBEDDED CODER Gen C/C++ HDL CODER Gen VHDL/Verilog 程序猿 手工编码 费时 手写代码失误高 不易于保持 MATLAB 参考代码与 C 代码的一致性 不易于在开发阶段修改需求 FPGA MCU ASIC DSP FPGA ASIC 23

22 嵌入式代码生成工具 Embedded Coder 从 MATLAB, Simulink, 和 Stateflow 生成 C/C++ code 针对嵌入式系统优化 可配置到多种器件 快速原型开发板 用于汽车控制器的 MCUs 用于信号处理系统的 DSPs 用于消费电子的 ARMs 支持行业标准 DO-178, IEC 61508, ISO 26262, and EN AUTOSAR, ASAP2, and MISRA-C 24

23 主要特性 用 data dictionary 管理存储类型, 类型定义, 别名 针对处理器优化 ARM Cortex-A and M Intel IPP and Power Architecture SIMD Customizable for any device 支持多速率 多任务 多核执行, 包含或不包含 RTOS 软件在环 处理器在环测试 模型和代码结果比对 代码剖析 可集成代码覆盖率工具 定制注释 代码报告, 支持需求文档 模型 代码之间的双向跟踪 25

24 移除不需要的代码或数据支持 Removing initialization code (Optimization pane) Removing termination code (Interface pane) Removing data support (Interface pane) Disabling MAT-File Logging (Interface pane) Conditional Input Branch Execution (Optimization pane) No termination function 26

25 MATLAB /Simulink 支持目标硬件低成本硬件 免费硬件支持包 Arduino Raspberry Pi LEGO NXT iphone BeagleBoard PandaBoard Gumstix Overo Microsoft Kinect Zynq TI C6000 USRP SDR irobot Create 28

26 代码验证 Polyspace C / C ++ 产品系列 Polyspace Bug Finder 快速找到嵌入式软件中的错误 检查代码符合 MISRA 和 JSF 编码规则 供软件工程师日常使用 Polyspace Code Prover 证明在给定的运行条件下, 那些代码是安全可靠的 不需要穷举执行程序就可发现代码的运行时缺陷, 边界条件和冗余代码 深度剖析代码的运行时行为和变量的数据范围 证明软件内存共享的安全性 采用数学分析的方法 不会漏报或误报任何运行时软件缺陷 29

27 自动代码生成已成为行业趋势 User Story 30

28 通信与信号处理领域新特性 新产品 Antenna Toolbox(15a) Vision HDL Toolbox(15a) LTE System Toolbox(14a) Phased Array System Toolbox(11a) 大调整 Fixed-point Designer(13a) HDL Coder(12a) Embedded Coder(11a) Communication System Toolbox(11a) DSP System Toolbox(11a) Computer Vision System Toolbox(10a) 31

29 HDL Implementation of LTE OFDM Modulator and Detector HDL optimized implementation with golden reference verification HDL Implementation of LTE OFDM Modulator and Detector Implementation of an LTE OFDM Modulator and Detector optimized for HDL code generation Verification of transmitter HDL implementation against a golden reference waveform using LTE System Toolbox Initial receiver detection and synchronization stages implemented in HDL coder 32

30 阵列信号处理 Radar tracking Automotive Adaptive Cruise Control 33

31 图像处理 多目标跟踪 模式匹配 物体分割 相机校准 APP 人脸识别与跟踪 34

PowerPoint Presentation

PowerPoint Presentation 快速 FPGA / ASIC 原型设计与验证 单博 信号处理应用工程师 MathWorks 中国 1 实际案例 HDL 代码自动生成, 并在 Xilinx 开发板运行 2 日程 介绍使用基于模型的设计方法进行 FPGA 设计实际案例 音频均衡器定点化模型 HDL 代码自动生成速度和面积优化验证 : HDL 联合仿真和 FPGA 在环仿真总结 3 基于模型的设计 (model based design):

More information

Presentation Title

Presentation Title 嵌入式代码自动生成 周玲 / Ling.Zhou@mathworks.cn 应用工程师 201406 2014 The MathWorks, Inc. 1 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 2 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 3 BLACK BOX P O W E R V R C S I N P U T B L

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

Presentation Title

Presentation Title 使用 Simulink 设计和部署 PARROT 四旋翼无人机飞行控制 胡乐华 高级应用工程师 MathWorks 中国 2018 The MathWorks, Inc. 1 MATLAB 产品家族 基于 MATLAB 和 Simulink 的近百种工具箱和扩展模块 正应用于当下的各行各业 基于事件的建模 物理建模 应用 快速原型与 HIL 仿真 验证 确认和测试 仿真图示和报告 控制系统 Simulink

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

PowerPoint Presentation

PowerPoint Presentation 构建基于模型的机载软件研发平台 苏哲 2015 The MathWorks, Inc. 1 内容 基于模型的研发平台的组成及用户案例 构建研发平台的关键技术 符合高安全规范的机载软件研发平台 2 内容 基于模型的研发平台的组成及用户案例 构建研发平台的关键技术 符合高安全规范的机载软件研发平台 3 以基于模型设计为核心集成设计开发环境 项目管理 Simulink Project 需求管理 Simulink

More information

Presentation Title

Presentation Title 通往 5G 之路 : 无线系统的仿真和原型实现 王峥 (John Wang) 通信, 电子, 半导体行业经理, MathWorks 1 目录 5G 系统的挑战 从算法到天线的设计 空口的测试 (Over the Air Testing and Software Defined Radio) 原型实现 2 5G 展望和应用场景 5G 的技术需求 新的物理层技术 新的 RF 架构 新的网络配置 新的设计方法和外场测试

More information

Presentation Title

Presentation Title 音频系统的快速设计 验证与实现 徐正高 MathWorks 2016 The MathWorks, Inc. 1 MATLAB for Real-Time Audio key use cases For research and product development: Desktop prototyping Custom measurements For project-based learning:

More information

Presentation Title

Presentation Title 化繁为简 : 软件定义无线电设计的原型实现 阮卡佳 MathWorks 应用工程师 1 复杂的下一代无线系统 天线设计 RF 设计混合信号数字硬件 DSP 算法软件开发系统构架 至少七项 需要设计技能才能设计成功的产品! 2 系统设计常见挑战 数字信号处理复杂度不断增加 无线, 宽带, 半导体 产品上市时间压力 设计验证太晚 设计错误的风险, 影响上市时间 设计团队的合作 模拟 / 混合信号, 数字硬件,DSP,

More information

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

第壹拾篇

第壹拾篇 - 目 次 - 目 次 表 目 次... 1 圖 目 次... 1 凡 例... 1 本 鎮 宗 教 禮 俗 篇 大 事 記... 1 緒 論... 1 第 一 章 宗 教 亯 伖... 3 第 一 節 傳 統 民 間 宗 教... 3 第 二 節 天 主 教 與 基 督 教... 31 第 三 節 日 本 神 社... 35 第 二 章 風 俗 習 慣... 38 第 一 節 歲 時 禮 俗...

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 C C trio@seu.edu.cn C C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 Week3 C Week5 Week5 Memory & Pointer

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 可信软件工程中的逻辑方法研讨会 LEDS2015 会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 ( 中 航 工 业 集 团 631 研 究 所 )

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Microsoft Word - 201110.doc

Microsoft Word - 201110.doc 2011 年 10 月 信 徒 交 通 月 刊 目 錄 一 本 期 目 錄 編 輯 室 1 二 牧 者 的 話 教 會 轉 化 -- 得 到 更 新 皮 袋 衣 立 凡 2 三 講 章 精 華 清 潔 的 心 思 -- 除 去 論 斷 講 員 衣 立 凡 / 賴 美 如 整 理 4 清 潔 的 心 思 -- 除 去 情 慾 講 員 葉 志 偉 / 林 慶 如 整 理 9 四 精 選 文 章 等 候

More information

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 1 TEMPLATE 1 Template 描述 使用模板函数求最大值 使用如下 main 函数对程序进行测试 int main() { double a, b; cin >> a >> b; cout c >> d; cout

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

涓浗鏂囧寲

涓浗鏂囧寲 第 三 部 分 中 国 文 化 一 选 择 题 111. 中 国 古 代 伟 大 的 思 想 家 和 教 育 家 被 联 合 国 教 科 文 组 织 列 为 世 界 十 大 文 化 名 人 之 一 A. 庄 子 B. 老 子 C. 韩 非 子 D. 孔 子 答 案 :D 112. 第 一 部 被 介 绍 到 西 方 去 的 中 国 古 代 戏 曲 是, 它 是 著 名 的 元 杂 剧 四 大 悲 剧

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

使用Polyspace进行软件代码错误检查和运行期错误证明

使用Polyspace进行软件代码错误检查和运行期错误证明 Presentation Title By Author 2014 The MathWorks, Inc. 1 使用 Polyspace 进行软件代码运行错误检查和验证 李春彦 应用工程师 MathWorks China 2014 The MathWorks, Inc. 2 内容 Polyspace 背景介绍 Polyspace 产品功能及使用技巧 在基于模型设计开发流程中使用 Polyspace

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

穨怎樣用電腦打出一分物理試題.PDF

穨怎樣用電腦打出一分物理試題.PDF 1 Microsoft Word 1. 2. 3. 88 g = 9.8 / 2 ( ) 1 5 4 20 4 1 ( ) 2 1. ( ) A B C D E (A) A (B) B (C) C (D) D (E) E 2. W N F N N F W (A) W (D) F N N F W (B) F W (E) N W (C) F 3. (1) ( ) (A) (B) (C) (D) (E)

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Presentation Title

Presentation Title 2015 The MathWorks, Inc. 1 基于模型设计下的可执行流程与实施 于化龙 MathWorks 中国 高级项目支持部经理 2015 The MathWorks, Inc. 2 今日议题 流程改进与可执行 基于模型设计的实施 项目支持中国案例 3 理解流程 Requirements Acceptance Design Integration 需求 需求追踪 模型设计 模型缺陷检查模型规范检查

More information

使用基于模型的设计 进行产品化控制算法开发(II)

使用基于模型的设计 进行产品化控制算法开发(II) 2015 The MathWorks, Inc. 1 使用基于模型的设计进行产品化控制算法开发 (II) 李春彦 应用工程师 MathWorks China 2015 The MathWorks, Inc. 2 基于模型的设计 Concept Requirements Control Electrical, Hydraulic Mechanical Target System Deploy Complete

More information

IEC 传输帧格式

IEC 传输帧格式 IEC 60870-5-1 GB GB/T XXXXX XXXX idt IEC 60870-5-1:1990 Telecontrol Equipment and Systems Part 5:Transmission Protocol Section 1: Transmission frame formats ( ) 1998.6.28 2000.2.10 2000.5.7 200x-xx-xx

More information

旋极视界装备测试性专刊总第18期.indd

旋极视界装备测试性专刊总第18期.indd 卷 首 语 FOREWORD THINKING 2015 年 装 备 测 试 性 专 刊 总 第 18 期 抓 住 机 遇 乘 势 而 上 北 京 旋 极 信 息 技 术 股 份 有 限 公 司 董 事 长 陈 江 涛 2014 年 是 我 国 经 济 进 入 新 常 态 的 开 局 之 年, 也 是 旋 极 科 技 为 全 面 建 成 国 际 一 流 的 信 息 技 术 服 务 企 业 奠 定 坚

More information

lan03_yen

lan03_yen IEEE 8. LLC Logical Link Control ll rights reserved. No part of this publication and file may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electronic, mechanical,

More information

Microsoft Word - 3圓來如此.doc

Microsoft Word - 3圓來如此.doc 局 長 序 精 進 教 學 是 教 育 部 近 幾 年 推 動 教 育 改 革 的 重 點, 本 市 國 民 教 育 輔 導 團 數 學 領 域 能 夠 進 行 整 體 性 的 規 劃, 強 調 資 源 整 合 專 業 實 踐 重 質 不 重 量, 並 將 輔 導 工 作 聚 焦 在 精 進 教 師 課 堂 教 學 能 力 傳 遞 教 育 政 策 與 新 知, 及 推 展 創 新 教 學 之 發 展

More information

rz_Newsletter2016_en_160111.indd

rz_Newsletter2016_en_160111.indd 2016 Android AUTOSAR Linux AUTOSARAU Reverse ging Target Communication Framework ARM CoreSight TM Requirements Analysis Nexus Timing Tools Intel Trace Hub GDB Infineon MCDS Unit Testing PIL Simulation

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

What's New _R2015 v3

What's New _R2015 v3 2015 The MathWorks, Inc. 1 驱动 MathWorks 业务的核心能力 Verification, Validation, and Test Test and measurement Model checking Code verification Certification kits Automatic Code Generation Rapid prototyping and

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

3 发 展 规 划 部 招 标 管 理. 负 责 建 设 项 目 工 程 招 投 标 计 划 编 制 上 报 ; 2. 负 责 组 织 建 设 项 目 工 程 招 投 标 工 作 ; 3. 配 合 做 好 招 标 项 目 的 合 同 签 订 履 行 验 收 等 工 作 ; 4. 组 织 处 理 招

3 发 展 规 划 部 招 标 管 理. 负 责 建 设 项 目 工 程 招 投 标 计 划 编 制 上 报 ; 2. 负 责 组 织 建 设 项 目 工 程 招 投 标 工 作 ; 3. 配 合 做 好 招 标 项 目 的 合 同 签 订 履 行 验 收 等 工 作 ; 4. 组 织 处 理 招 中 国 商 飞 公 司 206 年 校 园 招 聘 岗 位 信 息 单 位 : 飞 机 设 计 研 究 院 序 号 部 门 岗 位 名 称 人 数 岗 位 职 责 条 件 要 求 工 作 地 点 办 公 室 政 策 研 究. 负 责 领 导 工 作 报 告 调 研 报 告 会 议 报 告 的 撰 写 与 整 理 ; 2. 负 责 重 要 会 议 材 料 准 备 工 作 ; 3. 负 责 领 导 调

More information

Microsoft PowerPoint - ch6 [相容模式]

Microsoft PowerPoint - ch6 [相容模式] UiBinder wzyang@asia.edu.tw UiBinder Java GWT UiBinder XML UI i18n (widget) 1 2 UiBinder HelloWidget.ui.xml: UI HelloWidgetBinder HelloWidget.java XML UI Owner class ( Composite ) UI XML UiBinder: Owner

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

Microsoft Word - KSAE06-S0262.doc

Microsoft Word - KSAE06-S0262.doc Stereo Vision based Forward Collision Warning and Avoidance System Yunhee LeeByungjoo KimHogi JungPaljoo Yoon Central R&D Center, MANDO Corporation, 413-5, Gomae-Ri, Gibeung-Eub, Youngin-Si, Kyonggi-Do,

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3 附 表 深 圳 职 业 技 术 学 院 文 化 育 人 示 范 课 程 建 设 项 目 申 请 书 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 课 程 性 质 课 程 负 责 人 所 属 专 业 所 属 部 门 基 础 课 郑 红 数 学 工 业 中 心 填 表 日 期 2015-12-28 深 圳 职 业 技 术 学 院 制 二 一 五 年 十 二 月 1 一 课 程 基 本

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知

深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知 深 入 了 解 追 求 卓 越 立 即 报 名 中 国 2016 深 入 了 解 追 求 卓 越 立 即 报 名 为 何 选 择 参 加 MATHWORKS 培 训? MathWorks 培 训 课 程 由 专 业 的 培 训 工 程 师 团 队 共 同 开 发, 他 们 通 过 与 产 品 研 发 团 队 的 密 切 合 作 获 得 了 专 有 的 产 品 知 识 他 们 还 在 新 产 品 发

More information

<4D6963726F736F667420576F7264202D2031303030353235B77CC4B3ACF6BFFD2DB0D3B77EC2B2B3F8C2BEBAD82E646F63>

<4D6963726F736F667420576F7264202D2031303030353235B77CC4B3ACF6BFFD2DB0D3B77EC2B2B3F8C2BEBAD82E646F63> 全 國 高 級 中 等 學 校 100 學 年 度 商 業 類 科 學 生 技 藝 競 賽 商 業 簡 報 職 種 競 賽 規 則 修 訂 會 議 紀 錄 壹 時 間 : 中 華 民 國 100 年 05 日 25 日 ( 星 期 三 ) 下 午 2 時 整 貳 地 點 : 豐 原 高 商 圖 書 館 一 樓 參 主 席 : 潘 教 授 偉 華 肆 出 席 單 位 及 人 員 :( 如 簽 到 表

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

深 入 了 解 追 求 卓 越 立 即 报 名 MathWorks 开 发 的 培 训 教 材 以 专 有 产 品 知 识 为 教 材 开 发 团 队 和 培 训 教 师 随 时 与 产 品 研 发 团 队 进 行 交 流, 获 取 最 新 的 产 品 功 能 信 息 我 们 理 解 每 个 人 都

深 入 了 解 追 求 卓 越 立 即 报 名 MathWorks 开 发 的 培 训 教 材 以 专 有 产 品 知 识 为 教 材 开 发 团 队 和 培 训 教 师 随 时 与 产 品 研 发 团 队 进 行 交 流, 获 取 最 新 的 产 品 功 能 信 息 我 们 理 解 每 个 人 都 深 入 了 解 立 即 报 名 追 求 卓 越 中 国 2015 深 入 了 解 追 求 卓 越 立 即 报 名 MathWorks 开 发 的 培 训 教 材 以 专 有 产 品 知 识 为 教 材 开 发 团 队 和 培 训 教 师 随 时 与 产 品 研 发 团 队 进 行 交 流, 获 取 最 新 的 产 品 功 能 信 息 我 们 理 解 每 个 人 都 有 不 同 的 学 习 方 法 这

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D> Homeworks ( 第 三 版 ):.4 (,, 3).5 (, 3).6. (, 3, 5). (, 4).4.6.7 (,3).9 (, 3, 5) Chapter. Number systems and codes 第 一 章. 数 制 与 编 码 . Overview 概 述 Information is of digital forms in a digital system, and

More information

新・解きながら学ぶJava

新・解きながら学ぶJava 481! 41, 74!= 40, 270 " 4 % 23, 25 %% 121 %c 425 %d 121 %o 121 %x 121 & 199 && 48 ' 81, 425 ( ) 14, 17 ( ) 128 ( ) 183 * 23 */ 3, 390 ++ 79 ++ 80 += 93 + 22 + 23 + 279 + 14 + 124 + 7, 148, 16 -- 79 --

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 专 业 代 码 :590215 一 专 业 名 称 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 二 教 育 类 型 及 学 历 层 次 高 等 职 业 教 育, 大 专 层 次 三 入 学 条 件 高 中 毕 业 或 同 等 学 历 者 四 学 制 基 本 学 制 三 年, 最 长 五

More information

正式发文

正式发文 甘 肃 省 国 家 税 务 局 2015 年 政 府 信 息 公 开 工 作 年 度 报 告 本 年 度 报 告 根 据 中 华 人 民 共 和 国 政 府 信 息 公 开 条 例 要 求, 由 甘 肃 省 国 家 税 务 局 编 制 全 文 包 括 基 本 情 况 主 动 公 开 政 府 信 息 情 况 依 申 请 公 开 政 府 信 息 情 况 政 府 信 息 公 开 咨 询 处 理 情 况 政

More information

Real-time Human Detection and Security System

Real-time Human Detection and Security System 人 型 即 時 辨 識 系 統 Real-time Human Detection and Security System 隊 名 : 偵 查 隊 系 別 : 資 訊 工 程 系 指 導 老 師 : 鄭 淑 真 老 師 組 員 學 生 : 吳 冠 億 陳 冠 達 王 士 豪 黃 智 鴻 ㄧ.. 前 言 : 現 今 社 會 科 技 非 常 發 達, 我 們 只 是 利 用 簡 單 工 具 做 出 ㄧ

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

IEEE/EIA 12207 0910023766 ??? The Frameworks Quagmire (http://www.software.org/quagmire/) () ISO 9001 ISO/IEC 12207 ISO/IEC 15504 CMM Quality System Requirements Life Cycle Processes Process Assessment

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

3.1 num = 3 ch = 'C' 2

3.1 num = 3 ch = 'C' 2 Java 1 3.1 num = 3 ch = 'C' 2 final 3.1 final : final final double PI=3.1415926; 3 3.2 4 int 3.2 (long int) (int) (short int) (byte) short sum; // sum 5 3.2 Java int long num=32967359818l; C:\java\app3_2.java:6:

More information

<4D6963726F736F667420576F7264202D20A8CFA952A6A12DAED1ADB1B8EAAEC62E646F63>

<4D6963726F736F667420576F7264202D20A8CFA952A6A12DAED1ADB1B8EAAEC62E646F63> 102 學年度花蓮縣吉安鄉宜昌國民小學本土教育使命式 成果報告 專題研究主題 吉安三寶之芋見新幸福 隊名 宜昌好鼻師 使命行動家 指導教師 王室媛老師 林軒如老師 蘇倖儀老師 壹 主 要 目 標 一 研 究 動 機 : 宜 昌 國 小 位 於 花 蓮 縣 吉 安 鄉, 離 花 蓮 市 很 近, 卻 是 個 農 業 鄉 鎮, 整 個 吉 安 鄉 的 範 圍 相 當 廣, 一 開 始 大 家 在 思 考

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859 156 12 (02)

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859  156 12 (02) C8 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 sales-head@ic-fortune.com (02)2809-4742 audit@ic-fortune.com 27 28 (02)2809-4742 210 (02)2586-5859 http://www.yuanta.com.tw 156 12

More information

PowerPoint Presentation

PowerPoint Presentation Presentation Title By Author 2014 The MathWorks, Inc. 1 Best Practice for Model VnV 模型验证的最佳实践 于化龙,MathWorks 中国 软件项目支持部高级经理 2 基于模型设计帮助您节省 62% 的成 Total Savings $3,720,000 $1,500,000 MBD Dev Costs Total Investments

More information

SPC Track_Topic2_MATLAB在下一代通信系统研发的应用

SPC Track_Topic2_MATLAB在下一代通信系统研发的应用 MATLAB 在下一代通信系统研发的应用 陈建平 MathWorks 2015 The MathWorks, Inc. 1 未来移动通信的挑战 4G, 5G 和 WLAN 标准 100-1000 倍的速度提升 无处不在的可靠服务 更高的复杂度 新的架构 新的频带 ( 毫米波 ) 更多天线 (Massive MIMO) 先进的 RF 和 DSP 协同设计 2 4G LTE & LTE-A 标准 标准低速移动传输速率高速移动传输速率

More information

大 綱 一 了 解 市 場 法 則 重 要 的 工 作? 二 人 力 單 位 用 人 策 略 與 測 驗? 三 企 業 用 人 的 思 考 策 略? 四 用 人 單 位 如 何 找 到 喜 歡 的 人 力? 五 履 歷 撰 寫? 六 面 試 技 巧? 七 提 升 競 爭 力 的 秘 方?

大 綱 一 了 解 市 場 法 則 重 要 的 工 作? 二 人 力 單 位 用 人 策 略 與 測 驗? 三 企 業 用 人 的 思 考 策 略? 四 用 人 單 位 如 何 找 到 喜 歡 的 人 力? 五 履 歷 撰 寫? 六 面 試 技 巧? 七 提 升 競 爭 力 的 秘 方? 職 場 應 徵 技 巧 演 練 演 講 人 李 滿 盈 先 生 2015 年 11 月 05 日 大 綱 一 了 解 市 場 法 則 重 要 的 工 作? 二 人 力 單 位 用 人 策 略 與 測 驗? 三 企 業 用 人 的 思 考 策 略? 四 用 人 單 位 如 何 找 到 喜 歡 的 人 力? 五 履 歷 撰 寫? 六 面 試 技 巧? 七 提 升 競 爭 力 的 秘 方? 一 了 解 市

More information

C++ 程式設計

C++ 程式設計 C C 料, 數, - 列 串 理 列 main 數串列 什 pointer) 數, 數, 數 數 省 不 不, 數 (1) 數, 不 數 * 料 * 數 int *int_ptr; char *ch_ptr; float *float_ptr; double *double_ptr; 數 (2) int i=3; int *ptr; ptr=&i; 1000 1012 ptr 數, 數 1004

More information

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 将算法部署到 FPGA/ASIC 硬件 单博 2015 The MathWorks, Inc. 1 提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 为什么将算法部署到 FPGA/ASIC 硬件上 Speed Real-tme image processing

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi R&D of a Large Format Hybrid Photo-Detector (HPD) for a Next Generation Water Cherenkov Detector Tokyo - HPK joint R&D program H.Aihara University of Tokyo HPK =Hamamatsu Photonics 1 presented at Next

More information

C

C C 2017 3 14 1. 2. 3. 4. 2/95 C 1. 3/95 C I 1 // talkback.c: 2 #include 3 #include 4 #define DENSITY 62.4 5 int main(void) 6 { 7 float weight, volume; 8 int size; 9 unsigned long letters;

More information

湖 南 蓝 皮 书 电 子 政 务 障 体 系 项 目 建 设 提 出 了 明 确 的 要 求, 制 订 了 具 体 的 实 施 方 案 目 前, 全 省 资 金 场 地 人 员 已 按 要 求 基 本 到 位, 省 局 正 在 全 力 组 织 督 促 和 实 施 2 完 成 全 省 统 计 联 网

湖 南 蓝 皮 书 电 子 政 务 障 体 系 项 目 建 设 提 出 了 明 确 的 要 求, 制 订 了 具 体 的 实 施 方 案 目 前, 全 省 资 金 场 地 人 员 已 按 要 求 基 本 到 位, 省 局 正 在 全 力 组 织 督 促 和 实 施 2 完 成 全 省 统 计 联 网 2015 年 湖 南 省 统 计 局 电 子 政 务 发 展 形 势 分 析 及 2016 年 发 展 展 望 13 2015 年 湖 南 省 统 计 局 电 子 政 务 发 展 形 势 分 析 及 2016 年 发 展 展 望 湖 南 省 统 计 局 2015 年, 在 省 委 省 政 府 的 高 度 重 视 和 省 财 政 厅 省 发 改 委 的 大 力 支 持 下, 为 了 满 足 新 形 势

More information

c_cpp

c_cpp C C++ C C++ C++ (object oriented) C C++.cpp C C++ C C++ : for (int i=0;i

More information

I Love MATLAB meetup

I Love MATLAB meetup Simulink 基础入门 MathWorks 中国 2017 The MathWorks, Inc. 1 主要内容 Simulink 简介 Simulink 建模与仿真基础 自动代码生成 Simulink 硬件仿真 Stateflow 初步 案例分享 2 Simulink 简介 3 Simulink 产品家族 基于模型设计 (MBD) 从概念到代码实现的工具 系统建模和仿真 定点建模 基于事件的建模

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

林教授2.PDF

林教授2.PDF 83 Taiwan Congress Function and Trend After Embellishing Constitute LinSuei - gie Abstract National Assembly becomes to be the non-permanent conference aimed at the particular assignments. For this reason,

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

Go构建日请求千亿微服务最佳实践的副本

Go构建日请求千亿微服务最佳实践的副本 Go 构建 请求千亿级微服务实践 项超 100+ 700 万 3000 亿 Goroutine & Channel Goroutine Channel Goroutine func gen() chan int { out := make(chan int) go func(){ for i:=0; i

More information

第一章行政區域及行政組織

第一章行政區域及行政組織 目 次 目 次 目 次... 1 凡 例... 1 本 鎮 政 事 篇 大 事 記... 1 緒 論... 1 第 一 章 地 方 政 府... 2 第 一 節 沿 革... 3 第 二 節 行 政 組 織 與 功 能... 18 第 三 節 中 央 派 出 機 關... 42 第 四 節 縣 政 府 派 駐 機 關... 44 第 二 章 地 方 自 治... 73 第 一 節 地 方 自 治 概

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information