Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 硬件设计的智能化从 MATLAB/Simulink 到 FPGA/ASIC/SoC 赵志宏全球产品市场部经理 1

2 FLIR 加速热成像 FPGA 的开发 挑战加速高级热成像滤波器的 FPGA 算法开发与实现 解决方法使用 MATLAB 开发 仿真并评估算法, 使用 HDL Coder 在 FPGA 上快速实现最佳算 原始图像 ( 左 ) 和应用滤波器 ( 通过 HDL Coder 开发而得 ) 后的图像 ( 右 ) 结果 从概念的形成到构建可在现场测试的原型的时间缩短了 60% 仅需数小时就完成给了原有功能的提升, 而不需耗费数周时间 代码复用的比例由零提升至 30%Code reuse increased from zero to 30% 借助 MATLAB 和 HDL Coder, 我们能够更快地对市场需求做出响应 现在我们之所以能够坦然应对各种变局, 原因在于我们可在数周内将新的创意引入具有实时性能的硬件原型上 工程设计过程有了更多乐趣, 工作满意度和客户满意度也因此得到提升 Nicholas Hogasten FLIR Systems 2

3 3T Develops Robot Emergency Braking System with Model-Based Design Challenge Design and implement a robot emergency braking system with minimal hardware testing Solution Model-Based Design with Simulink and HDL Coder to model, verify, and implement the controller Results Cleanroom time reduced from weeks to days Late requirement changes rapidly implemented Complex bug resolved in one day A SCARA robot. With Simulink and HDL Coder we eliminated programming errors and automated delay balancing, pipelining, and other tedious and error-prone tasks. As a result, we were able to easily and quickly implement change requests from our customer and reduce time-tomarket. Ronald van der Meer 3T 3

4 Semtech 加快基于 FPGA 和 ASIC 的数字收发器的开发 挑战加快无线射频设备的优化数字接收机链路开发 解决方法使用 MathWorks 工具进行基于模型的设计, 以生成用于快速 FPGA 和 ASIC 实现的生产 VHDL 代码 结果 设计创建原型的速度提高了 50%. 信号处理时间从数周缩短为数天. 交付终交付经过优化 性能更佳的设计 The Semtech SX1231 wireless transceiver. 编写 VHDL 是一件非常枯燥的事情, 还 需要验证手写代码 利用 Simulink 和 HDL Coder, 一旦完成对模型的仿真, 就 可以直接自动生成 VHDL, 并使用 FPGA 进行原型验证 这样可以节省大量时间, 并且生成的代码还包含一些我们未曾想 到的优化 Frantz Prianon Semtech 4

5 基于模型的设计流程 理论研究 技术要求 系统设计 快速验证理论和算法的正确性 根据实现的要求搭建系统构架 测试平台 算法模型 硬件模型 测试和验证 直接产生高效可读的代码 快速进行性能和资源的优化 硬件实现 C, C++ ARM VHDL, Verilog FPGA,ASIC 复用算法的测试平台和数据 支持多种工业测试标准 系统集成 5

6 演示实例 请仔细观察 怎样用 Simulink 搭建和仿真你的算法? 怎样快速产生 HDL 代码? 代码可读性如何? 怎样快速把代码综合到 Xilinx 的芯片上? 怎样提高代码的效率? 6

7 还记得刚刚演示的功能吗? 7

8 自动化的工作流程从模型到 FPGA 实现和时序分析 8

9 模型和代码的双重追踪性 9

10 资源使用预估 10

11 Reports 找出关键路径 MATLAB and Simulink HDL Coder HDL Synthesis Bits 在算法结构中直接看到实现后的关键路径 FPGA 11

12 满足时序约束分布式管道寄存器 分布式管道寄存器 ( 在模型中重定时 ) 在需要时自动补偿延迟 用户可约束式重定时 Register Register Register Smaller critical path 12

13 满足资源约束资源共享 13

14 集成已有 HDL 代码 在 Simulink 用黑箱的功能嵌入已有代码 在 Simulink 中设置已有代码的接口信息 14

15 有什么样高级的算法模块吗? 15

16 视觉 HDL 工具箱 图像分析和加强 边缘检测, 中值滤波 图像转换器 色度重采样, 颜色空间转换 去马赛克插补 Gamma 校正 图像滤波器 图像滤波器, 中值滤波器 图像形态运算 扩张, 侵蚀, 开 闭 统计 直方图 图像统计 输入输出接口 帧到像素转换 像素到帧转换 其他实用功能 像素流控制总线产生器 像素流控制总线选择器 16

17 其他支持 HDL 代码产生的高级模块 滤波 Biquad Interpolator/Decimator LMS 无线通讯 FFT, NCO QAM, BPSK, QPSK Viterbi, Convolutional, RS, Turbo 17

18 用 MATLAB 代码编写你自己的模块 18

19 能产生浮点数的 HDL 代码吗? 19

20 浮点数运算的硬件实现 算法要求很大的数值范围或很高的精度 在转定点数之前很快在硬件上验证算法的正确性 整个或部分算法可以自动转化成定点数 20

21 常用的数学表达式的模型 1 a 1 + a 21

22 通量方程建模 22

23 浮点数的运算 sign exponent mantissa S: Sign (ufix1) E: Exponent (ufix8) M: Mantissa (ufix23) A B single single DTC (SI) DTC (SI) int32 UNPACK UNPACK <S,E,M> IEEE Floating-Point Algorithm Implementation <S,E,M> PACK int32 DTC (SI) single AS BS XOR CS AE Add Adjust BE Exponents Exponent Single Precision Multiply Operators for AM BM Multiply Magnitudes HDL Normalize Round Adjust Exponent Normalize CE CM 23

24 支持浮点数代码产生的数学运算 R2017a 版本 Add, Sub, Mul, Reciprocal, Div, Sqrt, RecipSqrt, Mod, Rem Sin, Cos, SinCos, Atan, Atan2, Exp, Log SOE, POE, DTI, PID, DTF, Discrete FIR 后续版本 10^u, log10, acos, asin, tan, reciprocal Mag^2, pow, conj, hypot, square, hyperbolic functions (sinh, cosh, tanh, acosh, asinh, atanh) 24

25 怎么验证产生的代码? 25

26 HDL 验证 产生独立的测试平台和测试数据 VHDL 或 Verilog 测试平台 算法的输入输出记录在数据文件中 可在任何 VHDL 和 Verilog 仿真器中验证 Simulink 与 EDA 仿真器联合仿真 Cadence Incisive, Mentor Graphics ModelSim and Questa FPGA 在环仿真 (FPGA-in-the-loop) 算法在 FPGA 板卡上跑, 测试平台在 MATLAB 或 Simulink 中 通过千兆网口或 JTAG 连接 利用是数据扑捉功能在 Simulink 里调试硬件 26

27 Simulink 与 EDA 仿真器联合仿真 27

28 FPGA 在环仿真 xout Convert In1 Out1 sim_xout Data Type Conversion To Workspace 1 Constant input_clock fcn yout Convert Data Type Conversion1 In2 Out2 sim_yout To Workspace1 pixdata Convert In3 Out3 sim_dataout MATLAB Function Data Type Conversion2 ToFILSrc Sobel_Wrapper ToFILSink To Workspace2 In1 Out1 In2 In3 FIL Out2 Out3 FromFILSrc Sobel_Wrapper_fil Compare 产生的 HDL 自动编译并下载到板卡上运行 Simulink 实时提供输入并采集分析输出 28

29 支持 SoC 的设计流程吗? 29

30 SoC 的设计和实现 30

31 SoC 设计的挑战性 31

32 SoC 设计的挑战性 Design Challenge System partitioning Functional verification Performance estimation Implementation challenge Embedded Processor and FPGA Interconnect Peripherals 32

33 SoC 的软硬件共同设计 HDL Coder Embedded Coder HDL C SoC Platform 33

34 产生 Xilinx Vivado IP 核 直接从 MATLAB 和 Simulink 产生可移植和复用的 IP 核 包含 AXI4 接口, 直接连接 Zynq 的 ARM 处理器 产生的 IP 核可直接集成入 Xilinx IP Catalog AXI4 AXI4-Lite Accessible Registers Algorithm from MATLAB/ Simulink External Ports Programmable Logic IP Core 34

35 产生 Altera IP 核 直接从 MATLAB 和 Simulink 产生可移植和复用的 IP 核 包含 AXI4 接口, 直接连接 Altera SoC 的 ARM 处理器 产生的报告文档 a 可做 IP 核数据表 与 Altera 的 Qsys 综合工具紧密集成 35

36 与 Xilinx 的 Zynq 设计工具紧密结合 在 HDL Coder 中直接调用 Xilinx EDK embedded system tool HDL Coder 的 IP 核可以直接插入 EDK 参考设计使用 定制参考设计 (reference design) 编译并下载到 Zynq 开发板 ARM Cortex-A9 dual-core Processor AXI Bus FPGA IP Core 36

37 AXI4-Lite 处理器在环仿真 在 Simulink 中实时控制硬件上跑的算法 实时调整参数 实时采集数据 TCP/IP AXI4-Lite Blocks IP Core Registers C Algorithm ARM Cortex-A9 MP (Running Linux) FPGA IPCore 37

38 产品未来的走向是什么? 38

39 典型应用 图像处理 无线通信 (5G) 机器学习和深度学习 自动驾驶 物理模型仿真 39

40 基于模型的设计大大缩短产品设计周期花在 FPGA 实现上的时间的对比 FPGA 实现所需时间缩短了 48% ( 占项目的总耗时的 33%) 1 st FPGA Prototype 2 nd FPGA Prototype 1 st FPGA Prototype 40

41 问答 41

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

PowerPoint Presentation

PowerPoint Presentation 快速 FPGA / ASIC 原型设计与验证 单博 信号处理应用工程师 MathWorks 中国 1 实际案例 HDL 代码自动生成, 并在 Xilinx 开发板运行 2 日程 介绍使用基于模型的设计方法进行 FPGA 设计实际案例 音频均衡器定点化模型 HDL 代码自动生成速度和面积优化验证 : HDL 联合仿真和 FPGA 在环仿真总结 3 基于模型的设计 (model based design):

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

Presentation Title

Presentation Title 化繁为简 : 软件定义无线电设计的原型实现 阮卡佳 MathWorks 应用工程师 1 复杂的下一代无线系统 天线设计 RF 设计混合信号数字硬件 DSP 算法软件开发系统构架 至少七项 需要设计技能才能设计成功的产品! 2 系统设计常见挑战 数字信号处理复杂度不断增加 无线, 宽带, 半导体 产品上市时间压力 设计验证太晚 设计错误的风险, 影响上市时间 设计团队的合作 模拟 / 混合信号, 数字硬件,DSP,

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

What's New _R2015 v3

What's New _R2015 v3 2015 The MathWorks, Inc. 1 驱动 MathWorks 业务的核心能力 Verification, Validation, and Test Test and measurement Model checking Code verification Certification kits Automatic Code Generation Rapid prototyping and

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Presentation Title

Presentation Title 通往 5G 之路 : 无线系统的仿真和原型实现 王峥 (John Wang) 通信, 电子, 半导体行业经理, MathWorks 1 目录 5G 系统的挑战 从算法到天线的设计 空口的测试 (Over the Air Testing and Software Defined Radio) 原型实现 2 5G 展望和应用场景 5G 的技术需求 新的物理层技术 新的 RF 架构 新的网络配置 新的设计方法和外场测试

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2

提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 将算法部署到 FPGA/ASIC 硬件 单博 2015 The MathWorks, Inc. 1 提纲 何时考虑使用 FPGA ASIC 或片上系统 (SoC) 硬件 算法的硬件实现 所需考虑的问题 从系统 / 算法到 FPGA/ASIC 硬件的流程 案例 : 视觉处理算法部署到 FPGA 硬件 结论 2 为什么将算法部署到 FPGA/ASIC 硬件上 Speed Real-tme image processing

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系 1 基 于 PRET 的 编 程 模 型 李 晓 飞, 陈 香 兰 ( 中 国 科 学 技 术 大 学 计 算 机 学 院, 合 肥 230039) 摘 要 : 时 间 可 预 测 性 在 信 息 物 理 系 统 设 计 领 域 正 变 得 越 来 越 重 要, 目 前 时 间 可 预 测 性 系 统 的 设 计 分 为 编 程 模 型 和 体 系 结 构 两 个 层 次, 编 程 模 型 的 研

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

2012年报.xls

2012年报.xls 合 计 平 均 0.3560 0.4140-14.02 245091.50 227618.11 7.68 19544.36 19536.49 0.04 50289 51020 51317 51393 51436 600000 浦 发 银 行 2013-05-09 1.8330 1.4630 25.29 8295200 6791800 22.14 3418600 2728600 25.29 411643

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库 Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 DMB-T RS DMB-T DMB-T RS(208,188) RS BM RS : 1 RS RS RS FPGA RS 2 RS 3 BM 4 matlab RS RS

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

证券期货市场之主要诚信规范

证券期货市场之主要诚信规范 证 券 期 货 市 场 严 重 违 法 失 信 行 为 之 典 型 案 例 汇 编 二 〇 一 一 年 十 二 月 目 录 背 景 介 绍... 3 一 内 幕 交 易 泄 露 内 幕 信 息... 4 ( 一 ) 定 义... 4 ( 二 ) 法 律 责 任... 4 ( 三 ) 典 型 案 例... 4 1. 李 际 滨 黄 文 峰 内 幕 交 易 案... 4 2. 况 勇 张 蜀 渝 徐 琴

More information

Debugging the Zynq All Programmable SoC

Debugging the Zynq All Programmable SoC 2014 Xilinx All Programmable 客户技术培训 1Debugging the Zynq All Programmable SoC 2014.1 36300**slide Objectives After completing this module, you will be able to Explain the various JTAG chain configurations

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

四川省普通高等学校

四川省普通高等学校 四 川 省 普 通 高 等 学 校 计 算 机 应 用 知 识 和 能 力 等 级 考 试 考 试 大 纲 (2013 年 试 行 版 ) 四 川 省 教 育 厅 计 算 机 等 级 考 试 中 心 2013 年 1 月 目 录 一 级 考 试 大 纲 1 二 级 考 试 大 纲 6 程 序 设 计 公 共 基 础 知 识 6 BASIC 语 言 程 序 设 计 (Visual Basic) 9

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 可信软件工程中的逻辑方法研讨会 LEDS2015 会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 ( 中 航 工 业 集 团 631 研 究 所 )

More information

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc)

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc) : TBFAT-G5MP-MN004-11 1 GX Series PLC Program Manual 2 GX Series PLC Program Manual Contents Contents...3 1... 1-1 1.1... 1-2 1.2... 1-3 1.2.1... 1-3 1.2.2... 1-4 1.2.3... 1-4 1.2.4... 1-6 1.3... 1-7 1.3.1...

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

SuperMap 系列产品介绍

SuperMap 系列产品介绍 wuzhihong@scu.edu.cn 3 / 1 / 16 / John M. Yarbrough: Digital Logic Applications and Design + + 30% 70% 1 CHAPTER 1 Digital Concepts and Number Systems 1.1 Digital and Analog: Basic Concepts P1 1.1 1.1

More information

Microsoft Word - shenbaocailiao.doc

Microsoft Word - shenbaocailiao.doc 附 件 13: 陕 西 高 等 学 校 本 科 实 验 教 学 示 范 中 心 申 请 书 推 荐 单 位 : 西 北 工 业 大 学 明 德 学 院 学 校 名 称 : 西 北 工 业 大 学 明 德 学 院 中 心 名 称 : 电 子 与 通 信 实 验 教 学 中 心 中 心 网 址 : http://www.npumd.cn/teach/mingde/index.htm 中 心 联 系 电

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

<4D F736F F F696E74202D20375F4D41544C4142D4DA BFAAB7A2D6D0B5C4BCBCCAF5D3EBD3A6D3C3>

<4D F736F F F696E74202D20375F4D41544C4142D4DA BFAAB7A2D6D0B5C4BCBCCAF5D3EBD3A6D3C3> 面向二十一世纪的嵌入式系统设计前沿技术 MATLAB 在 FPGA 开发中的 技术与应用 专题报告 徐欣 博士副教授 国防科大电子科学与工程学院嵌入式系统应用联合研究中心 主要内容 基于 Matlab 的信号处理算法 FPGA 实现综述 Simulink 与 Xilinx System Generator 在数据采集预处理中的应用 Matlab 与 Xilinx Accel DSP 在数据分析中的方法与应用

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 200030003 UDC 2003 8 2003 9 2003 Hardware Platform for 2D Barcode Reader Techniques Thesis for the degree of Master of Science By Liu Zhenyu (Electronic Engineering Dept., Xiamen University, P.R.

More information

大數據天文學 — 時間序列分析 .2cmMichael Ting-Chang Yang 楊庭彰

大數據天文學 —  時間序列分析 .2cmMichael Ting-Chang Yang 楊庭彰 大數據天文學 時間序列分析 Michael Ting-Chang Yang 楊庭彰 1 / 70 2 / 70 3 / 70 4 / 70 5 / 70 6 / 70 (cont.) 7 / 70 South Oscillation El Nino 8 / 70 9 / 70 : time : quantity : period : amplitude : scale : shape : ordered

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

初 啼 八 集 2006 至 2007 年 度 出 地 版 : 伯 特 利 中 學 址 : 元 朗 錦 繡 花 園 F 段 第 四 街 11 號 電 話 :2471 2622 傳 真 :2471 5171 製 作 : 同 理 心 創 念 有 限 公 司 出 版 日 期 :2007 年 7 月 序 初 啼, 是 由 本 校 中 文 科 和 活 力 組 合 辦 的 文 集, 提 供 給 學 生 發 表

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 胡春旭 GUI ROS MoveIt! 接口 通信协议 机器人控制器 ROS 交互 规划 ROS 机械臂 实时系统 接口 通信 控制 硬件 模型 运动规划 控制配置日志状态 关节位置插补 状态配置参数 IO EtherCAT 伺服控制器 机器人本体 碰撞检测语音 / 语义识别图像处理 Simple Message (TCP/IP)... 运动学状态监控参数管理坐标变换...

More information

(1/3) NSC 92-2220-E-009-027 92 11 1 93 7 31 ( ) 93 5 19 Abstract SoC (digital signal processor; DSP) (MMX (stackcontrol flow context switch ) memory hierarchy DSP (standalone) DSP (dual processor) DSP

More information

Microsoft Word - netcontr.doc

Microsoft Word - netcontr.doc * 一 种 基 于 模 型 的 输 出 反 馈 网 络 化 控 制 系 统 刘 松 晖, 吴 俊, 徐 巍 华, 陈 生 (. 工 业 控 制 技 术 国 家 重 点 实 验 室 浙 江 大 学 先 进 控 制 研 究 所, 浙 江 杭 州 3007;. 南 安 普 敦 大 学 电 子 与 计 算 机 学 院, 英 国 南 安 普 敦 SO7 BJ) E-mail: shliu@iipc.zju.edu.cn

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3 附 表 深 圳 职 业 技 术 学 院 文 化 育 人 示 范 课 程 建 设 项 目 申 请 书 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 课 程 性 质 课 程 负 责 人 所 属 专 业 所 属 部 门 基 础 课 郑 红 数 学 工 业 中 心 填 表 日 期 2015-12-28 深 圳 职 业 技 术 学 院 制 二 一 五 年 十 二 月 1 一 课 程 基 本

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

49274h1.pdf

49274h1.pdf ( A B C D E F G H T N A10 A20 A30 A40 E10 E20 P10 P20 C10 C20 2 3 4 1 2 3 4 TZ KT MAT INF TEP MOT ETH 1 2 3 4 0 Mathematics for Information Sciences TNA102101 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 4 F-505

More information

2003 1

2003 1 2003 2003 DATANG TELECOM TECHNOLOGY CO., LTD 2004 4 16 2003 1 2003 3 4 6 9 12 14 16 31 32 35 62 2 2003 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@datang.com

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

PowerPoint Presentation

PowerPoint Presentation 构建基于模型的机载软件研发平台 苏哲 2015 The MathWorks, Inc. 1 内容 基于模型的研发平台的组成及用户案例 构建研发平台的关键技术 符合高安全规范的机载软件研发平台 2 内容 基于模型的研发平台的组成及用户案例 构建研发平台的关键技术 符合高安全规范的机载软件研发平台 3 以基于模型设计为核心集成设计开发环境 项目管理 Simulink Project 需求管理 Simulink

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

UDC The Design and Implementation of a Specialized Search Engine Based on Robot Technology 厦门大学博硕士论文摘要库

UDC The Design and Implementation of a Specialized Search Engine Based on Robot Technology 厦门大学博硕士论文摘要库 10384 200128011 UDC The Design and Implementation of a Specialized Search Engine Based on Robot Technology 2004 5 2004 2004 2004 5 World Wide Web Robot Web / (Focused Crawling) Web Meta data Web Web I

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Microsoft PowerPoint - 数据通信-ch1.ppt

Microsoft PowerPoint - 数据通信-ch1.ppt 主 要 内 容 与 基 本 要 求 主 要 内 容 数 据 通 信 与 计 算 机 网 络 计 算 机 网 络 的 发 展 过 程 分 类 以 及 主 要 性 能 指 标 ; 分 组 交 换 的 基 本 原 理 及 其 与 电 路 交 换 报 文 交 换 的 联 系 与 区 别 ; 计 算 机 网 络 的 协 议 与 体 系 结 构 第 1 章 概 述 基 本 要 求 掌 握 分 组 交 换 电 路

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

資訊系統開發模式

資訊系統開發模式 第 2 章 資 訊 系 統 開 發 模 式 學 習 目 標 2.1 導 論 2.2 瀑 布 模 式 2.3 漸 增 模 式 2.4 雛 型 模 式 2.5 螺 旋 模 式 2.6 同 步 模 式 2.7 Rational 統 一 流 程 模 式 2.8 敏 捷 軟 體 開 發 2.9 MDA 發 展 生 命 週 期 2.10 結 論 本 章 大 綱 學 習 目 標 詳 讀 本 章, 你 至 少 能

More information

rz_Newsletter2016_en_160111.indd

rz_Newsletter2016_en_160111.indd 2016 Android AUTOSAR Linux AUTOSARAU Reverse ging Target Communication Framework ARM CoreSight TM Requirements Analysis Nexus Timing Tools Intel Trace Hub GDB Infineon MCDS Unit Testing PIL Simulation

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

Presentation Title

Presentation Title 音频系统的快速设计 验证与实现 徐正高 MathWorks 2016 The MathWorks, Inc. 1 MATLAB for Real-Time Audio key use cases For research and product development: Desktop prototyping Custom measurements For project-based learning:

More information

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管 第 6 卷 第 1 期 011 年 月 Chinese 中 国 Journal 舰 of船 Ship研 Research 究 Vol.6 No.1 Feb. 第 011 6 卷 doi: 10. 3969 / j. issn. 1673-3185. 011. 01. 017 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 常 壮 1 邱 金 水 刘 伯 运 1

More information

案例正文:(幼圆、小三、加粗)(全文段前与段后0

案例正文:(幼圆、小三、加粗)(全文段前与段后0 案 例 正 文 : 1 中 国 农 业 银 行 FMIS 系 统 开 发 摘 要 : 本 案 例 描 述 一 家 大 型 商 业 银 行 自 主 开 发 战 略 性 管 理 信 息 系 统 的 过 程 该 系 统 不 仅 规 模 大, 而 且 业 务 类 型 复 杂, 项 目 启 动 时 的 系 统 目 标 具 有 高 度 不 确 定 性 项 目 独 特 之 处 是 业 务 专 家 在 项 目 中

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5

u d = R s i d - ωl q i q u q = R s i q + ωl d i d + ωψ 1 u d u q d-q i d i q d q L d L q d q ψ f R s ω i 1 i 5th i th 5 θ 1 θ θ 3 5 5 3 5 018 10 Vol. 3 No. 5 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Oct. 018 150080 Matlab /Simulink DOI 10. 15938 /j. jhust. 018. 05. 011 TM35 A 100-683 018 05-006- 06 Stator Harmonic Optimal

More information

Presentation Title

Presentation Title 从创意到实现 IoT 时代的智能系统 陈炜博士 张延亮博士 陈建平 亚太区大学计划高级经理, ARM 研究院 首席科学家, 松山湖国际机器人研究院 高级应用工程师,MathWorks 中国 1 智能系统无处不在 汽车工业 无人机 医药 人形机器人 农业 家用电器 玩具 消费电子 3D 打印 仓储 物流 2 智能系统的架构 可扩展的计算能力 安全通信 数据汇集 云平台 部署分析服务器 ( 产品 ) 工程数据处理

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

2

2 RE-101M2 2 3 1. 3. 2. 1 l 1 2 4 3 4 5 1 2 5 1. 3. 2. 4. 12 5. 7. 6. 8. 13 10. 9. 14 1 1. 2. 5. 3. 4. 6 1. 2. 1. 2. 7 1. 5. 2. 6. 3. 7. 4. 8. 8 9 2. 3. 1. 6. 8. 7. 5. 4. 10 1. 2. 1. 11 ...4...1... 4...2...1

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2 Chapter 02 變數與運算式 2.1 2.1.1 2.1.2 2.1.3 2.1.4 2.2 2.2.1 2.2.2 2.2.3 type 2.2.4 2.3 2.3.1 print 2.3.2 input 2.4 2.4.1 2.4.2 2.4.3 2.4.4 2.4.5 + 2.4.6 Python Python 2.1 2.1.1 a p p l e b e a r c 65438790

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

30 2008,2(1) 基 礎 上, 各 種 數 據 均 以 圖 形 化 方 式 表 達, 因 此 各 級 分 析 結 果 均 可 以 隨 時 檢 驗 另 外, 由 於 系 統 是 以 網 站 形 式 發 佈, 任 何 用 戶 均 可 通 過 網 絡 查 詢 瀏 覽 系 統 中 的 數 據, 因

30 2008,2(1) 基 礎 上, 各 種 數 據 均 以 圖 形 化 方 式 表 達, 因 此 各 級 分 析 結 果 均 可 以 隨 時 檢 驗 另 外, 由 於 系 統 是 以 網 站 形 式 發 佈, 任 何 用 戶 均 可 通 過 網 絡 查 詢 瀏 覽 系 統 中 的 數 據, 因 第 2 卷 第 1 期 澳 門 科 技 大 學 學 報 Vol.2 No.1 2008 年 6 月 30 日 Journal of Macau University of Science and Technology June 30, 2008 29 月 球 探 測 數 據 實 時 管 理 系 統 的 開 發 朱 紅 岷 **, 祝 夢 華, 劉 良 鋼 *, 許 敖 敖 ( 澳 門 科 技 大 學

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 厦门大学博硕士论文摘要库

UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 厦门大学博硕士论文摘要库 10384 15620071151397 UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 2010 4 Duffee 1999 AAA Vasicek RMSE RMSE Abstract In order to investigate whether adding macro factors

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

2005硕士论文模版

2005硕士论文模版 基 于 输 入 法 用 户 词 库 和 查 询 日 志 的 若 干 研 究 Some Research based on User Dictionary of Input Method and Query Log ( 申 请 清 华 大 学 工 学 硕 士 学 位 论 文 ) 培 养 单 位 : 计 算 机 科 学 与 技 术 系 学 科 : 计 算 机 科 学 与 技 术 研 究 生 : 王 鹏

More information