Template

Size: px
Start display at page:

Download "Template"

Transcription

1 计算机组成实验指导书 Pipelined RISC with Forwarding & Stalls 计算机组成实验指导书 SOME-COA-LAB v4 1 of 16

2 1. OVERVIEW 1.1 实验名称简单的类 MIPS 多周期流水化处理器实现 1.2 实验目的理解并实现 CPU 的 Pipeline, 以及 Data Hazard, Branch Hazard 的处理 1.3 实验范围本次实验将覆盖以下范围 ISE 的使用 使用 VerilogHDL 进行逻辑设计 VirtexII Pro 实验板的使用 ( 可选 ) 1.4 实验预计时间每次 2 小时,6~8 次 1.5 实验要求作业 / 报告邮箱 :coa.2012.assignment@gmail.com 2~3 人一组, 自由组合 每周提交周报 ( 组长负责 ), 最终提交实验报告, 周报和实验报告模板, 见 WeeklyReport.doc 文件 第一周提交总体设计和接口文档, 工程中尽量避免接口修改 运行指定代码并给出运行结果 ; 推荐使用自编代码, 但代码 实验报告和 PPT 中必须体现各类 Hazard 针对上面的代码画出 5 级流水时序图 每组制作 PPT 一份进行课程设计验收 1.6 注意事项 本实验的逻辑设计工具为 Xilinx ISE9.1, 但不仅限于此, 学生可以使用自己喜欢的逻辑设计工具, 如 Snyplify 等, 也可直接在 ModelSim 中完成 注意实验报告的格式统一, 缩进 ( 禁用 Tab) 行间距 字体等 计算机组成实验指导书 SOME-COA-LAB v4 2 of 16

3 2. 新建工程 2.1 实验描述 新建工程 1. 启动 ISE 9.1i 2. 选择 File > New Project 出现 New Project Wizard 3. Project Name 填写 lab6, 选择工程 Project Location,Top-level Source Type 选择 HDL 点击 Next 4. Device Properties 中各属性填写如下 : Product Category: ALL Family: Virtex2P Device: XC2VP30 Package: FF896 Speed: -7 Synthesis Tool: XST(VHDL/Verilog) Simulator: ISE Simulator Modelsim-SE (verilog) [ 注 : 这次将用 Modelsim 仿真 ] Preferred Language: Verilog 确认 Enable Enhanced Design Summary 已勾选 5. 点击 Next 6. 在 New Project Wizard Create New Source 中点击 Next 7. 在 New Project Wizard Add Existing Sources 中点击 Next 8. 在 New Project Wizard Project Summary 中点击 Finish, 结束建立工程 作者 计算机组成实验指导书 SOME-COA-LAB v4 3 of 16 修改日期

4 3. TOP 模块 3.1 实验描述分别实现 Memory, Decoder, ALU, Register Files, Data Hazard 如果有时间可以在此基础上实现分支预测和 L1 Cache 的主要功能 基本模块的实现, 插入级间寄存器 Top 文件编写 Forwarding 的实现 Stall 的实现 基本模块 单周期结构 : 下面是单周期 MIPS 的主要结构 : 其中逻辑如下 : 一 译码 计算机组成实验指导书 SOME-COA-LAB v4 4 of 16

5 二 ALUCtrl 多周期基本结构 : 将单周期 CPU 进行分割, 插入 4 级寄存器, 将其分割为 IF,ID,EX,M,WB 五大部分 : 计算机组成实验指导书 SOME-COA-LAB v4 5 of 16

6 其中 Control 的输出需要被保存下来, 以供后续每级流水使用 如下所示 : 计算机组成实验指导书 SOME-COA-LAB v4 6 of 16

7 3.1.2 Forwarding 首先, 分为两类 Data Hazard: 如 : Sub $2, $1, $3 And $12, $2, $5 EX/MEM.RegisterRd = ID/EX.RegisterRs = $2 ( 一 ) EX 级,1a 和 1b: 其中,ForwardA,ForwardB 参见下面的结构图 : 计算机组成实验指导书 SOME-COA-LAB v4 7 of 16

8 ( 二 ) MEM 级 2a,2b: 计算机组成实验指导书 SOME-COA-LAB v4 8 of 16

9 结构图如下 : Stalls 的实现 : Stall 的实现通过插入 nops, 可通过 mux 选择来源为本级输出或上级级间寄存器 结构图 : 计算机组成实验指导书 SOME-COA-LAB v4 9 of 16

10 3.1.4 分支预测 ( 非必需实验 ) 需要加入 flush 流水线的功能 ( 一 ) 静态分支预测 Assume Branch Taken Assume Branch Not Taken ( 二 ) 动态分支预测 (1 位或 2 位预测器 ) 计算机组成实验指导书 SOME-COA-LAB v4 10 of 16

11 3.1.5 编写功能 注意, 由于各种变量名称极为复杂, 推荐在着手编码之前为自己选择一套命名规范 另外, 由于 MEM 级的 Branch 会影响 PCSrc 的值, 从而影响下次 PC, 因此需要为 Control 加入 RESET 功能, 将 Branch 置零 写完代码后在综合选项中运行语法检查 代码指导 命名规范 所有寄存器 线 模块名称应符合统一的命名规范, 不做硬性规定, 但命名应当能够反映功能与类型, 并在整个工程中保持统一 如 :ID_EX_WriteMem 等 模块划分 顶层模块 top 下, 分别设计 IF,ID,EX,MEM,WB 五个子模块, 模块中包括逻辑功能模块与栈间寄存器模块 ( 如果包含 ) 接口定义 在工程设计初期, 应当协同确定各个模块的功能 划分, 并且确定模块间的接口与时序 接口定义必须在编写代码前完成, 并给出接口定义文档 栈间寄存器 栈间寄存器的编写应当注意触发条件以及对流水线 Stall 的考虑 流水线应对 Stall 可以有两种方式, 一种是栈间寄存器保持原值, 另一种是清空前面的流水级 ( 考虑一下这两种方法各自有什么优缺点 ) 这里给出一个使用 Reset 前几级栈间寄存器的例子, 实际编写时根据需要编写自己的栈间寄存器 计算机组成实验指导书 SOME-COA-LAB v4 11 of 16

12 使用 reg_b 类型可以方便创建栈间寄存器的实例 : 其中,rst 用于栈间寄存器的清零,RST_AT_HIGH 表示高位清零 ID 模块中寄存器堆 零号寄存器永远为 0x0 注意, 由于寄存器堆的输入并非同时到达, 因此需要使用时钟下降沿进行寄存器写操作以保证写操作在 WB 阶段一个周期内完成 同时, 在系统启动时, 应当将寄存器初始化为零 这里提供一个样例, 请根据功能自行填充代码, 以实现读 写功能 : module register_set( clk,//input rst,//input //Other Inputs or Outpus ); input clk; input rst; // Other in/outputs 作者 计算机组成实验指导书 SOME-COA-LAB v4 12 of 16 修改日期

13 reg[`wordwidth-1:0] gpreg[31:0]; //32 registers in MIPS CPU clk) begin if(rst == 1) begin gpreg[0]<=0; gpreg[1]<=0;... end else if(regwrite==1) begin... end end end module 仿真测试 1. 编写汇编代码, 手工计算或者使用我们提供的翻译器转化为二进制代码文件 如下面 的代码 : lw $1, 40($0) ; 1 lw $2, 44($0) ; 5 lw $3, 48($0) ; 8 add $4, $1, $2 ; $4=6 sub $5, $3, $1 ; $5=7 and $6, $2, $1 ; $6=1 lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 or $7, $3, $1 ; $7=9 slt $8, $3, $1 ; $8=0 beq $0, $0, end ; to end add $9, $7, $8 ; $9=9, not executed end: lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 lw $10, 40($0) ; 1 2. 将上述代码转为二进制 Codes, 保存为文件, 文件名自定, 这里假定是 result.txt, 将数据 保存为 data.txt 然后在 Top 中加入下面面代码 : initial $readmemb( result.txt, instmem.membuf, 8 h0); $readmemh( data.txt, datamem.membuf, 8 ha); end 3. 在 Sources 窗口中, 将 Sources for 下拉框选择为 Behavioral Simulation 4. 在 Top(Top.v) 模块上点击右键, 选择 New Source 5. 文件类型为 Test Bench WaveForm, 文件名自定 6. Associate Source 中选择 Top,Next.. 作者 计算机组成实验指导书 SOME-COA-LAB v4 13 of 16 修改日期

14 7. Finish 8. 弹出的 Initial Timing and Clock Wizard Initialize Timing 中, 使用 clock_in 作为时钟输入, 仿真周期自定 9. 设置输入波形 下面给出一个样例 : 10. 选中 Sources 中的设备, 在 Processes 中运行 Compile HDL Simulation Lib: 如若找不到 excutable simulator, 右键选中图中 Compile HDL Simulation Lib, 点击属性 计算机组成实验指导书 SOME-COA-LAB v4 14 of 16

15 11. 将 testbench 添加至工程, 如下图 : 12. 双击 Sources 中出现的 test_tb_x.v 文件, 可以查看具体的代码, 添加图中标注的代码修改输入 这里修改的目的是, 将 reset 信号的作用时间包含初始化和 PC 指令两部分, 并且 PC 置零在初始化之后 如不需, 也可不修改 计算机组成实验指导书 SOME-COA-LAB v4 15 of 16

16 13. 运行仿真, 可以看到仿真结果 ( 操作小技巧 : 小键盘 -, +, 快速缩放波形视野 ) 检查波形, 如果有错, 检查代码逻辑, 重新仿真 3.2 实验验证代码计算每个寄存器的值并验证, 画出流水时序图 : lw $1, 40($0) ;$1= lw $2, 44($0) ;$2= add $3, $1, $2 ;$3= sub $4, $2, $1 ;$4= or $5, $3, $4 ;$5= slt $5, $5, $1 ;$5= sw $3, 52($5) ; and $5, $3, $4 ;$5= lw $6, 48($0) ;$6= beq $5, $6, end add $5, $5, $5 ;$5= end: sw $5, 44($4) 数据 Address=40, Data=1 Address=44, Data=5 Address=48, Data=4 计算机组成实验指导书 SOME-COA-LAB v4 16 of 16

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

党 政 投 资 基 金 落 户 上 城 区 曰 全 年 新 批 外 商 投 资 项 目 30 个 袁 实 际 利 用 外 资 12737 万 美 元 曰 引 进 市 外 内 资 项 目 598 个 袁 实 际 到 位 资 金 113.9 亿 元 曰 推 进 区 市 协 作 工 程 袁 出 台 实 施

党 政 投 资 基 金 落 户 上 城 区 曰 全 年 新 批 外 商 投 资 项 目 30 个 袁 实 际 利 用 外 资 12737 万 美 元 曰 引 进 市 外 内 资 项 目 598 个 袁 实 际 到 位 资 金 113.9 亿 元 曰 推 进 区 市 协 作 工 程 袁 出 台 实 施 党 政 中 共 上 城 区 委 揖 区 委 工 作 概 况 铱 2010 年 袁 中 共 上 城 区 委 坚 持 以 科 学 发 展 观 为 指 导 袁 紧 紧 围 绕 建 设 野 具 有 国 际 水 准 的 高 品 质 中 心 城 区 冶 战 略 目 标 袁 主 动 适 应 后 金 融 危 机 时 期 转 型 发 展 尧 统 筹 发 展 的 趋 势 特 点 袁 积 极 对 接 省 尧 市 发 展

More information

社 工 系 师 生 继 续 服 务 金 竹 林 儿 童 之 家.7 专 业 技 能 训 练 动 员 大 会..7 顶 岗 实 习 动 员 会..9 2013 级 本 科 班 专 业 技 能 训 练...9 保 山 学 院 盈 江 青 爱 小 屋 支 教 行..10 2014 级 政 本 班 德 育

社 工 系 师 生 继 续 服 务 金 竹 林 儿 童 之 家.7 专 业 技 能 训 练 动 员 大 会..7 顶 岗 实 习 动 员 会..9 2013 级 本 科 班 专 业 技 能 训 练...9 保 山 学 院 盈 江 青 爱 小 屋 支 教 行..10 2014 级 政 本 班 德 育 保 山 学 院 就 业 创 业 工 作 简 报 第 二 期 保 山 学 院 招 生 就 业 处 主 办 目 录 人 文 学 院 2016 年 1 月 考 研 复 习 交 流 会.........1 就 业 指 导 专 题 讲 座..........1 面 试 礼 仪 讲 座.........2 就 业 创 业 知 识 讲 座.........3 2014 级 历 史 学 班 前 往 板 桥 镇 开

More information

信工学生工作简报 第四期.doc

信工学生工作简报 第四期.doc 首 都 师 范 大 学 信 息 工 程 学 院 学 生 工 作 简 报 信 工 学 院 学 生 工 作 办 公 室 2011 年 6 月 第 四 期 内 容 提 要 校 党 委 副 书 记 缪 劲 翔 到 信 工 学 院 调 研 信 工 学 院 举 办 党 史 知 识 竞 赛 纪 念 建 党 九 十 周 年 信 工 学 院 举 办 第 九 期 分 党 校 培 训 41 名 学 员 顺 利 结 业 信

More information

教学设计方案

教学设计方案 分 会 场 名 称 : 教 学 设 计 方 案 课 程 名 称 : 人 与 动 物 单 元 主 题 阅 读 课 教 师 姓 名 : 郭 瑜 科 目 : 语 文 年 级 : 六 年 级 课 时 : 2 课 时 教 学 内 容 本 组 课 文 选 自 人 教 版 六 年 级 上 第 七 单 元 人 与 动 物 专 题, 课 内 四 篇 课 文 : 老 人 与 海 鸥 跑 进 家 来 的 松 鼠 最 后

More information

2009杭州市小学地方课程

2009杭州市小学地方课程 2013 年 杭 州 市 校 本 课 程 建 设 与 实 施 研 讨 会 暨 江 干 区 精 品 校 本 课 程 推 介 会 资 料 主 办 : 杭 州 市 普 通 教 育 研 究 室 承 办 : 江 干 区 教 育 局 协 办 : 江 干 区 教 师 进 修 学 校 杭 州 师 范 大 学 附 属 丁 兰 实 验 学 校 2013 年 5 月 目 录 1. 会 议 日 程 安 排 3 2. 课 堂

More information

任 务 单 一 ~2: 文 具 书 本 摆 整 齐, 争 得 自 理 星 争 星 要 求 : 文 具 用 品 摆 放 好, 书 本 叠 叠 放 整 齐 探 秘 任 务 一 ~2: 文 具 书 本 摆 整 齐, 争 得 自 理 星 任 务 1: 跟 小 辅 导 员 一 起 参 观 高 年 级 的 教

任 务 单 一 ~2: 文 具 书 本 摆 整 齐, 争 得 自 理 星 争 星 要 求 : 文 具 用 品 摆 放 好, 书 本 叠 叠 放 整 齐 探 秘 任 务 一 ~2: 文 具 书 本 摆 整 齐, 争 得 自 理 星 任 务 1: 跟 小 辅 导 员 一 起 参 观 高 年 级 的 教 少 先 队 活 动 课 儿 童 团 团 前 教 育 之 一 苗 苗 章 启 动 课 学 校 : 闵 行 区 实 验 小 学 ( 景 城 校 区 ) 年 级 : 一 年 级 辅 导 员 : 胡 波 活 动 目 标 1 通 过 完 成 校 园 探 秘 行 动 一 级 任 务, 在 做 一 做 玩 一 玩 的 游 戏 闯 关 过 程 中 获 得 青 松 星 动 手 星 爱 心 星 五 宝 星 2 通 过

More information

簡 述 所 有 參 與 教 案 編 寫 人 員 之 學 經 歷 及 負 責 內 容 參 與 教 案 編 寫 人 員 魏 俊 陽 學 歷 經 歷 負 責 內 容 國 立 臺 灣 師 範 新 北 市 閩 南 語 教 案 編 寫 大 學 課 程 與 教 輔 導 團 教 學 者 學 研 究 所 博 士 新

簡 述 所 有 參 與 教 案 編 寫 人 員 之 學 經 歷 及 負 責 內 容 參 與 教 案 編 寫 人 員 魏 俊 陽 學 歷 經 歷 負 責 內 容 國 立 臺 灣 師 範 新 北 市 閩 南 語 教 案 編 寫 大 學 課 程 與 教 輔 導 團 教 學 者 學 研 究 所 博 士 新 教 育 部 顧 問 室 符 合 大 腦 功 能 教 學 的 進 階 師 資 人 才 培 訓 計 畫 第 一 屆 符 合 大 腦 功 能 教 學 教 案 甄 選 辦 法 教 案 資 料 表 領 域 方 向 國 小 : 語 文 類 數 學 類 健 康 自 然 與 生 活 科 技 類 國 中 : 語 文 類 數 學 類 健 康 自 然 與 生 活 科 技 類 社 會 類 改 編 版 第 冊 第 課 範 圍

More information

支撑材料4.4.doc

支撑材料4.4.doc 1. 2. ( ) 3. 4. 5. 6. 7. 8. 9. 10. 1.2.10 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. () 25. 4.3.12 26. 2.3.21 27. 1.2.22 28. ( 201465 ) ( 2010122 ) ( 201489 ) 1.

More information

课程整体教学设计指导意见

课程整体教学设计指导意见 思 想 道 德 修 养 与 法 律 基 础 课 程 整 体 教 学 设 计 一 基 本 信 息 课 程 名 称 : 思 想 道 德 修 养 与 法 律 基 础 课 程 类 型 : 公 共 必 修 课 学 时 :48 学 分 :3 先 修 课 : 无 后 续 课 : 无 二 课 程 需 求 分 析 1 课 程 性 质 与 目 标 : 思 想 道 德 修 养 与 法 律 基 础 课 程 是 学 校 各

More information

???h?????????W??????

???h?????????W?????? 主 題 : 愛 是 什 麼 樣 子 ㄧ 教 學 主 題 : 愛 是 什 麼 樣 子 設 計 緣 起 : 我 目 前 任 教 於 國 小 ㄧ 年 級, 班 上 有 ㄧ 位 多 重 障 礙 的 學 生, 同 儕 因 老 師 的 鼓 勵 與 指 導, 表 面 上 都 能 接 納 身 障 生, 但 因 該 名 身 障 生 表 達 友 誼 的 方 式 不 太 對, 常 會 故 意 把 口 水 滴 到 同 學

More information

天天星期三

天天星期三 康 軒 版 二 上 第 三 單 元 第 九 課 等 待 兔 子 的 農 夫 單 元 名 稱 第 三 單 元 好 聽 的 故 事 課 名 第 九 課 等 兔 子 的 農 夫 教 材 來 源 康 軒 版 第 三 冊 二 上 教 學 時 間 六 節 設 計 者 北 一 區 幸 曼 玲 教 授 團 隊 臺 北 市 西 園 國 小 主 任 林 淑 華 臺 北 市 濱 江 國 小 教 師 廖 瑞 珍 1. 背

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

基于ECO的UML模型驱动的数据库应用开发1.doc

基于ECO的UML模型驱动的数据库应用开发1.doc ECO UML () Object RDBMS Mapping.Net Framework Java C# RAD DataSetOleDbConnection DataGrod RAD Client/Server RAD RAD DataReader["Spell"].ToString() AObj.XXX bug sql UML OR Mapping RAD Lazy load round trip

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7.

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes 包管理理 工具 Helm 蔺礼强 Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒 彙 集 全 球 21 位 醫 生 的 經 驗 和 智 慧, 總 結 出 最 實 用 的 專 業 建 議, 這 些 都 是 最 值 得 你 牢 記 的 健 康 提 醒 top1. 不 是 每 個 人 都 適 合 做 近 視 矯 行 手 術, 除 非 你 在 手 術 前 已 經 持 續 穩 定 地 佩 戴 了 一 年 以 上 的 近 視 眼 鏡 或 者 隱 形 眼 鏡 如 果 你 時 摘 時 戴 眼 鏡,

More information

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关 房 地 产 中 介 服 务 : 仍 处 于 成 长 期, 市 场 空 间 巨 大 作 者 : 庞 增 华 房 地 产 中 介 服 务 业 内 的 企 业 包 括 依 法 设 立 并 具 备 房 地 产 中 介 资 格 的 房 地 产 顾 问 策 划 房 地 产 代 理 销 售 房 地 产 评 估 房 地 产 经 纪 等 中 介 服 务 机 构, 是 房 地 产 开 发 价 值 链 中 不 可 或 缺

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

EJB-Programming-3.PDF

EJB-Programming-3.PDF :, JBuilder EJB 2.x CMP EJB Relationships JBuilder EJB Test Client EJB EJB Seminar CMP Entity Beans Value Object Design Pattern J2EE Design Patterns Value Object Value Object Factory J2EE EJB Test Client

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

目 录 教 学 简 讯 快 报. 1 青 少 年 工 作 系..5 社 会 工 作 学 院...10 法 学 院.14 经 济 管 理 学 院 17 新 闻 与 传 播 系 19 公 共 管 理 系.21 中 国 语 言 文 学 系.25 外 国 语 言 文 学 系.28

目 录 教 学 简 讯 快 报. 1 青 少 年 工 作 系..5 社 会 工 作 学 院...10 法 学 院.14 经 济 管 理 学 院 17 新 闻 与 传 播 系 19 公 共 管 理 系.21 中 国 语 言 文 学 系.25 外 国 语 言 文 学 系.28 学 生 教 学 信 息 月 报 (2013 年 11 月 12 月 合 刊 ) 目 录 教 学 简 讯 快 报. 1 青 少 年 工 作 系..5 社 会 工 作 学 院...10 法 学 院.14 经 济 管 理 学 院 17 新 闻 与 传 播 系 19 公 共 管 理 系.21 中 国 语 言 文 学 系.25 外 国 语 言 文 学 系.28 教 学 简 讯 快 报 我 校 组 织 大 学

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

RAID RAID 0 RAID 1 RAID 5 RAID * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID ( ) D. RAID/AHCI ( ) S ATA S S D ( ) (

RAID RAID 0 RAID 1 RAID 5 RAID * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID ( ) D. RAID/AHCI ( ) S ATA S S D ( ) ( SATA... 2 RAID/AHCI... 16 Intel Optane... 19 Intel Virtual RAID on CPU (Intel VROC)... 21 RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID

More information

ebook

ebook 26 JBuilder RMI Java Remote Method Invocation R M I J a v a - - J a v a J a v J a v a J a v a J a v a R M I R M I ( m a r s h a l ) ( u n m a r c h a l ) C a ff e i n e J a v a j a v a 2 i i o p J a v

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

PowerPoint 簡報

PowerPoint 簡報 國 家 賠 償 法 概 述 主 講 人 : 宋 恭 良 104.10.12 2015.10.30 1 Q. 老 師 是 否 是 公 務 員? 是 否 適 用 國 賠? 法 務 部 95 年 9 月 14 日 法 律 字 第 0170449 號 函 : 國 家 賠 償 法 第 2 條 第 1 項 規 定 本 法 所 稱 公 務 員 者, 謂 依 法 令 從 事 於 公 務 之 員, 係 採 最 廣 義

More information

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63>

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63> ( 閱 讀 前 ) 練 習 一 動 動 腦, 猜 一 猜 小 朋 友, 現 在 我 們 要 一 起 來 閱 讀 一 本 很 有 趣 的 書, 書 名 是 是 蝸 牛 開 始 的!, 請 動 動 你 的 腦 袋, 想 像 自 己 是 作 者, 猜 猜 這 本 書 在 說 什 麼 樣 的 故 事 呢? 我 覺 得 這 個 故 事 可 能 的 角 色 有 我 覺 得 這 個 故 事 可 能 發 生 的 地

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

影視後製全攻略 Premiere Pro After Effects Encore 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS Adobe CS5 Adobe CS4 Premiere Pro After Effect

影視後製全攻略 Premiere Pro After Effects Encore 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS Adobe CS5 Adobe CS4 Premiere Pro After Effect 自序 Adobe Premiere Pro After Effects Encore 2008 Adobe CS3 2010 Adobe CS5 Adobe CS4 Premiere Pro After Effects Encore 18 ii Tony Cathy 2010/8 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 iii Premiere

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 odps-sdk 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基 开放数据处理服务 ODPS SDK SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基础功能的主体接口, 搜索关键词 "odpssdk-core" 一些

More information

目 录 安 装... 5 卸 载... 8 1 智 慧 商 贸 电 脑 版 基 本 流 程... 1 2. 注 册... 2 3 找 回 密 码... 3 4. 首 页... 5 4.1 快 捷 菜 单... 5 4.2 报 表... 5 4.3 联 系 方 式... 6 5. 慧 管 货... 6

目 录 安 装... 5 卸 载... 8 1 智 慧 商 贸 电 脑 版 基 本 流 程... 1 2. 注 册... 2 3 找 回 密 码... 3 4. 首 页... 5 4.1 快 捷 菜 单... 5 4.2 报 表... 5 4.3 联 系 方 式... 6 5. 慧 管 货... 6 智 慧 商 贸 进 销 存 产 品 操 作 手 册 电 脑 版 版 本 V1.0.0 合 肥 盈 云 信 息 科 技 有 限 公 司 2014 年 5 月 1 日 目 录 安 装... 5 卸 载... 8 1 智 慧 商 贸 电 脑 版 基 本 流 程... 1 2. 注 册... 2 3 找 回 密 码... 3 4. 首 页... 5 4.1 快 捷 菜 单... 5 4.2 报 表... 5

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

为 进 一 步 推 进 教 育 教 学 改 革, 满 足 学 生 个 性 化 学 习 需 求, 培 养 学 生 实 践 能 力 和 创 新 创 业 素 质, 在 编 制 2016 级 专 业 人 才 培 养 方 案 指 导 意 见 中, 对 原 有 文 件 关 于 印 发 <2015 级 人 才 培

为 进 一 步 推 进 教 育 教 学 改 革, 满 足 学 生 个 性 化 学 习 需 求, 培 养 学 生 实 践 能 力 和 创 新 创 业 素 质, 在 编 制 2016 级 专 业 人 才 培 养 方 案 指 导 意 见 中, 对 原 有 文 件 关 于 印 发 <2015 级 人 才 培 教 务 2016 28 号 本 学 期, 在 学 校 深 入 开 展 两 学 一 做 学 习 教 育 活 动 的 指 引 下, 教 务 处 全 面 贯 彻 和 落 实 关 于 印 发 学 校 2016 年 十 项 重 点 工 作 责 任 分 解 表 的 通 知 ( 漳 职 院 办 2015 14 号 ) 和 漳 州 职 业 技 术 学 院 办 公 室 关 于 开 展 追 问 题 破 难 题 促 发

More information

穨UPSentry_SC_.PDF

穨UPSentry_SC_.PDF 3Phase UPSentry For: Windows 95/98/Me Windows NT40/2000/XP Table of Contents Chapter 0 Getting Started 0-1 0-1 0-1 0-2 Chapter 1 3Phase UPSentry 1-1 1-1 1-1 1-1 1-3 Chapter 2 3Phase UPSentry 2-1 Chapter

More information

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG --------------------------------------------TABLE OF CONTENTS------------------------------------------

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生 共 青 团 工 作 简 报 2011 年 第 1 期 共 青 团 大 连 海 洋 大 学 委 员 会 团 学 要 闻 : 导 读 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 我 校 在 大 连 市 大 学 生 创 新 创 意 作 品 大 赛 中 取 得 佳 绩 校 团 委 召 开 学 生 干 部 思 想 动 态 座 谈 会 校 团 委 组 织 开 展 弘 扬 雷 锋

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information