Microsoft Word - cat1163.doc

Size: px
Start display at page:

Download "Microsoft Word - cat1163.doc"

Transcription

1 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V 的系统 5 个复位门槛电压可供选择 1,000,000 个编程 / 擦除周期 手动复位 数据可保存 100 年 8 脚 DIP 封装或 8 脚 SOIC 封装 商业和工业级温度范围 描述 CAT1163 为基于微控器的系统提供了一个完整的存储器和电源监控解决方案 它们利用低功耗 CMOS 技术将 16k 带硬件存储器写保护功能的串行 EEPROM 存储器 用于掉电保护的电源监控电路和一个看门狗定时器集成到一块芯片上 存储器采用 I 2 C 总线接口 当系统由于软件或硬件干扰而被终止或 挂起 时,1.6 秒的看门狗电路将复位系统, 使系统恢复正常 CAT1163 的看门狗监控着 WDI 管脚 电源监控和复位电路可在系统上电 / 下电时保护存储器和系统控制器, 防止掉电条件的产生 CAT1163 的 5 个门槛电压可支持 5V 3.3V 和 3V 的系统 一旦电源电压超出范围, 复位信号有效, 禁止微控制器 ASIC 或外围器件继续工作 复位信号在电源电压超过复位门槛电压后的 200ms 内仍保持有效 由于带有高电平和低电平有效的复位信号, 因此 CAT1163 可以很方便地连接到微控制器和其它 IC 另外, 复位管脚还可用作手按键手动复位的去抖输入 1

2 CAT1163 的存储器构造成 16 字节的页 除此之外, 写保护管脚 WP 和 VCC 检测电路提供的硬件数据保护功 能可防止在 Vcc 降到低于复位门槛电压或上电时 Vcc 上升到复位门槛电压之前对存储器的写操作 器件提供 8 脚 DIP 和表贴 8 脚 SOIC 两种封装形式 管脚配置 CAT1163 器件编号 最小门槛电压 最大门槛电压 功能框图 2

3 绝对最大额定值 工作温度 到 +125 贮存温度 到 +150 管脚对地电压 (1) -2.0V 到 +V CC +2.0V V CC 端对地电压 V 到 +7.0V 功耗 (T A =25)..1.0W 焊接温度 (10 秒 ) 300 输出短路电流 (2) 100mA 在应用中各参数取值不允许超出上述 绝对最大额定值 否则会造成器件的永久损坏 以上列出的是器件正常工作的额定值, 并未涉及器件在这些条件或超出这些条件下的功能操作 器件不能长时间工作在绝对最大额定值条件下, 否则会影响其可靠性 管脚功能 管脚编号名称功能 1 WDI 看门狗定时器输入 2 RESET 低电平复位端 3 WP 写保护 4 GND 地 5 SDA 串行数据 / 地址 6 SCL 时钟输入 7 RESET 高电平复位端 8 V CC 电源 可靠性 符号参数参考测试方法最小值最大值单位 (3) N END (3) T DR (3) V ZAP (3)(4) I LTH 耐久性 MIL-STD-883, 测试方法 ,000,000 周期 / 字节 数据保存时间 MIL-STD-883, 测试方法 年 ESD 灵敏度 MIL-STD-883, 测试方法 伏特 闭锁 JEDEC 标准 毫安 3

4 直流工作特性 V CC =+2.7V~+6.0V, 除非特别说明 符号 参数 测试条件 最小值 典型值 最大值 单位 I CC 电源电流 f SCL =100KHz 3 ma I SB 待机电流 V CC =3.3V V CC = ua ua I LI 输入漏电流 V IN =G ND or V CC 2 ua I LO 输出漏电流 V IN =G ND or V CC 10 ua V IL 输入低电压 -1 V CC 0.3 V V IH 输入高电压 V CC 0.7 V CC +0.5 V V OL1 输出低电压 (SDA) I OL =3mA,V CC =3.0V 0.4 V 注 : (1) 最小直流输入电压为 -0.5V 跳变过程中, 输入可能会在一段时间内 ( 小于 20ns) 下降到 -2.0V 输出管 脚的最大直流电压是 V CC +0.5V, 此电压也可能会在一段时间内 ( 小于 20ns) 上升到 V CC +2.0V (2) 输出短路不允许超过 1 秒, 一次只允许一个输出短路 (3) 该参数是最早的测试结果, 其值受到设计或操作改变的影响 (4) 为电流上升到 100mA 的地址管脚和电压到达 -1V~Vcc+1V 的数据管脚提供闭锁保护 电容 T A =25,f=1.0MHz,Vcc=5V 符号测试最大值单位条件 C I/O (1) C IN (1) 输入 / 出电容 (SDA) 8 pf V I/O =0V 输入电容 (SCL) 6 pf V IN =0V 交流特性 V CC =2.7V~ 6.0V, 除非特别说明 输入负载为 1 个 TTL 门电路和 100pF 的电容 符号 参数 V CC =2.7V~6V V CC =4.5~5.5V 单位 最小值最大值最小值最大值 F SCL 时钟频率 KHz (1) T I t AA (1) t BUF SCL,SDA 输入的噪音抑制时间常数 ns SCL 变低到 SDA 数据输出和 ACK 输出的 us 时间 新一轮数据传输开始前要求总线的空闲时 us 间 t HD:STA 起始条件保持时间 us t LOW 时钟低电平时间 us 4

5 续上表符号 参数 V CC =2.7V~6V V CC =4.5~5.5V 单位 最小值 最大值 最小值 最大值 t HIGH 时钟高电平时间 us t SU:STA 起始条件建立时间 us ( 重复起始条件 ) t HD:DAT 数据保持时间 0 0 ns t SU:DAT 数据建立时间 ns t R (1) SDA 和 SCL 上升时间 us t F SDA 和 SCL 下降时间 ns t SU:STO 停止条件建立时间 us t DH 数据输出保持时间 ns (1)(2) 上电时间 符号参数最大值单位 t PUR 上电到读操作 1 ms t PUW 上电到写操作 1 ms 写周期限制 符号参数最小值典型值最大值单位 t WR 写周期 10 ms 写周期时间是指从写时序的有效停止条件到内部编程 / 擦除周期结束的时间段 在写周期内, 总线接口电路被禁止,SDA 保持高电平, 器件不对其从地址产生应答 注 : (1) 该参数是最早的测试结果, 其值受到设计或操作改变的影响 (2) t PUR 和 t PUW 是从电源电压稳定到指定操作启动需要的时间延迟 复位电路特性 符号 参数 最小值 典型值 最大值 单位 t GLITCH 干扰抑制脉冲宽度 100 ns V RT 复位门槛电压滞后 15 mv V OLRS 复位输出低电压 (I OLRS =1mA) 0.4 V V OHRS 复位输出高电压 V CC V 5

6 续上表 符号 参数 最小值 典型值 最大值 单位 V TH 复位门槛电压 (V CC =5V) (CAT ) 复位门槛电压 (V CC =5V) (CAT ) 复位门槛电压 (V CC =3.3V) (CAT ) 复位门槛电压 (V CC =3.3V) (CAT ) 复位门槛电压 (V CC =3V) (CAT ) t PURST 上电复位时间 ms t WP 看门狗定时器溢出周期 1.6 s t RPD V TH 到复位输出的延时 5 us V RVALID 复位输出有效 1 V V 管脚描述 WDI: 看门狗输入端 如果 1.6 秒内 WDI 未产生跳变, 则看门狗定时器溢出 WP: 写保护 若该管脚与 VCC 相连, 则整个存储器阵列被写保护 ( 只读 ) 当管脚与 GND 相连或悬空时, 可以对器件进 行正常的读 / 写操作 RESET/ RESET: 复位 I/O 它们是开漏输出, 可用作复位触发输入 该管脚上的强制复位条件可使器件启动和保持复位 RESET 脚需 连接一个下拉电阻, 而 RESET 需连接上拉电阻 SDA: 串行数据地址线 双向串行数据 / 地址管脚用于发送和接收数据 SDA 管脚是开漏输出的, 可与其它开漏极或集电极开路输出 器件进行线或 SCL: 串行时钟信号 串行时钟信号输入 6

7 器件操作复位控制描述 CAT1163 的精确复位控制电路可以确保在掉电和上 / 下电时系统的正确操作 复位为开漏输出 上电时, 复位信号输出保持有效, 直至 V CC 上升到 V TH, 并在 Vcc 到达 V TH 后大约 200ms(t PURST ) 内复位输出仍然保持有效 经过 t PURST 时间延迟后, 器件将会停止输出复位信号 这时, 高 / 低电平复位端分别被各自的上拉 / 下拉电阻拉高或拉低 掉电时, 当 Vcc 低于门槛电压时复位输出有效 只要 V CC >1.0V(V RVALID ), 复位输出就有效 复位管脚实际上是 I/O 口 因此,CAT1163 可用作外部手动复位的信号检测电路 输入是边沿触发的 这就意味着, 当检测到 RESET 管脚上低到高的跳变或 RESET 管脚上高到低的跳变时,CAT1163 就会启动一次复位 看门狗定时器看门狗定时器为微控制器提供了独立的保护 当系统出现故障时,1.6 秒后看门狗定时溢出,CAT1163 将产生一个复位信号 CAT1163 的看门狗特性通过 WDI 输入来体现 如果微控制器在 1.6 秒内未触发 WDI 输入管脚, 则看门狗定时器溢出, 产生复位信号 WDI 上的任何跳变都将会清零看门狗定时器 一旦复位信号有效, 看门狗定时器就停止计数, 保持清零状态 图 1 复位信号输出时序 硬件数据保护 CAT1163 具有以下硬件数据保护特性, 可保证数据的高度完整性 (1) WP 写保护 当 WP 端连接高电平时, 存储器被写保护 ( 只能读 ) (2) 当 Vcc 降到低于复位电压门槛电压 (V TH ) 时,Vcc 检测电路将提供写保护 当 Vcc 降到低于 V TH ( 掉电 ) 或 Vcc 上升到 V TH 之前 ( 上电 ) 时, 禁止串行 EEPROM 的写操作 当 RESET 或 RESET 有效时, 任何访问内部 EEPROM 的操作都不被认可, 也不会向 SDA 发送应答信号 7

8 复位门槛电压 CAT1163 提供 5 个复位门槛电压范围, 分别是 4.50~4.75V 4.25~4.50V 3.00~3.15V 2.85~3.00V 和 2.55~2.70V 图 2 总线操作时序 SCL SDA 第 8 位字节 n 应答 t WR 停止条件起始条件地址 图 3 写操作时序 起始位 停止位 图 4 起始 / 停止条件时序 功能描述 CAT1163 支持 I 2 C 总线数据传输协议 这个集成电路间的总线协议定义了发送器 ( 发送数据的器件 ) 和接收器 ( 接收数据的器件 ) 主控制器控制着数据的传输, 发送串行时钟 起始和停止条件 主控器和从控器均可作为发送器或接收器, 但由主控器来控制总线的工作模式 8

9 I 2 C 总线传输协议 I 2 C 总线数据传输协议的定义如下 : (1) 数据传输只能在总线空闲的情况下启动 (2) 在数据传输期间, 当时钟线为高电平时, 数据线必须保持稳定状态 当时钟线为高电平时, 数据线上任何的电平跳变被看成是起始或停止条件 起始条件 起始条件在所有命令发布之前产生 起始条件定义成 SCL 线为高电平时 SDA 线上高到低的跳变 CAT1163 一直监控着 SDA SDL 线, 在起始条件产生前, 器件不会做出任何回应 停止条件 当 SCL 线为高电平时,SDA 线上低到高的跳变定义为停止条件 所有操作都随着停止信号的出现而结束 器件寻址主控器通过发送起始信号来启动数据传输, 接着发送要访问器件的从地址 8 位从地址的高 4 位固定为 1010 接下来的 3 位 ( 图 6) 定义存储器的寻址单元 对于 CAT1163, 这 3 位是高地址位 从地址的最低位用来指示执行的是读或写操作 (1 为读操作,0 为写操作 ) 当主控制发送了起始条件和从地址字节后,CAT1163 监控总线, 当它自身的地址与发送的从地址相符时产生应答信号 ( 在 SDA 线上 ) CAT1163 根据 R/ W 位的值来进行读或写的操作 图 5 应答时序 CAT A10 A9 A8 R/ W *A8,A9,A10 对应着存储器地址字的地址 图 6 从地址格式 9

10 应答每成功完成一次数据传输, 接收器会在第 9 个时钟周期将 SDA 线拉低作为一个应答信号发送出去, 表明已接收完 8 位的数据 CAT1163 接收到起始条件和自身的从地址时会返回一个应答信号 如果器件被选中并接收到写命令, CAT1163 将在每接收到 8 位数据就返回一个应答信号 当接收到一个读操作命令时,CAT1163 发送 8 位数据, 释放 SDA 线, 等待主控器的应答 一旦接收到应答,CAT1163 继续发送下一个数据 如果没有收到主控制器的应答, 器件会终止数据传输, 等待停止信号 写操作字节写在字节写模式中, 主器件向从器件发送起始条件和从地址信息 (R/ W 位清 0) 从器件返回应答信号后, 主控器发送一个 8 位的地址, 写入 CAT1163 的地址指针 当再一次接收到从器件的应答时, 主控器将数据发送到被寻址的存储单元 CAT1163 再次应答, 主控器产生停止条件 这时, 器件开始一次内部编程周期, 把数据写入非易失性储器 在整个编程周期内器件不会对响应主控器的任何请求 页写应用页写模式,CAT1163 一个写操作周期内可写入多达 16 个字节的数据 页写操作的启动与字节写相同, 不同的是在第一个字节写入后传输并不终止, 而是允许主控器继续发送另外的 15 个字节 主控器每发送完 1 个字节,CAT1163 就产生一次应答, 内部的地址低位加 1, 地址高位保持不变 如果在停止条件发送前主控器发送的数据多于 16 个字节, 地址计数器将会 循环返回, 以前的数据将被覆盖 器件接收完 16 字节的数据后, 主控器发送停止条件, 器件的内部编程周期开始, 这样, 所有接收到的数据在一个写周期内就被写入 CAT1163 图 7 字节写操作时序 图 8 页写操作时序 10

11 应答查询为确保器件内部写操作的顺利进行, 器件会在此时禁止数据的输入 一旦在接收到停止信号, 表明写操作的结束,CAT1163 就会随之启动内部写操作 应答查询立即启动 上述操作也包括发送起始条件和执行写操作的从器件地址 如果 CAT1163 正在执行写操作, 则不会返回应答信号 如果 CAT1163 已经完成了写操作, 则返回一个应答信号, 主器件再继续执行下一次的读写操作 写保护写保护可防止用户对存储器阵列执行误编程操作 当 WP 与 Vcc 相连时, 整个存储器被保护, 只能执行读操作 CAT1163 可以接收从器件和字节地址, 但由于器件在接收到第一个字节数据后并不发送应答信号, 因此被访问的存储单元被保护, 不能进行编程 读操作 CAT1163 的读操作的启动与写操作相同, 惟一不同的是 R/ W 位被置 1 器件有 3 种不同的读操作 : 立即 / 当 前地址读 选择 / 随机地址读 顺序读 图 9 立即地址读操作时序 立即 / 当前地址读 CAT1163 的地址计数器的值是上次访问的存储单元地址加 1 换句话说, 如果上次存取的地址是 N, 随后的读操作会读取地址 N+1 对整个器件来说, 当 N=E=2047 时, 地址计数器返回 0, 继续输出有效数据 当 CAT1163 接受到自身的从地址信息后 (R/ W 位被置位 ), 返回一个应答信号, 然后发送 8 位的数据 主控器无需应答, 但要产生停止信号 11

12 选择 / 随机读选择 / 随机读允许主控制器选择任何的存储单元进行读操作 主控器首先通过发送起始条件 从地址和要读取的字节地址执行一次虚写操作 当 CAT1163 产生应答后, 主控器重新发送起始条件和从器件地址, 但这次 R W 位置位 然后,CAT1163 再产生应答, 发送所需的 8 位字节 主控器不需应答, 但要发送停止信号 顺序读立即地址读和选择读操作均可初始化顺序读操作 在 CAT1163 发送完第一个 8 位的数据后, 主控器产生应答信号来响应, 告知 CAT1163 继续发送数据 然后, 每产生一次应答,CAT1163 就发送一个 8 位的字节, 直至停止条件产生 CAT1163 按照从地址 N 到地址 N+1 的顺序来发送数据 读操作时, 地址计数器中 CAT1163 的所有地址位都增加, 这样整个存储器的内容可在一个读操作周期内全部读出 如果读出的字节数多于 E(CAT1163 的 E=2047), 计数器将 循环返回, 继续发送数据 手动复位操作 CAT116X 的 RESET 和 RESET 均可作为手动复位的输入端 只能检测到 有效 边沿的手动复位输入 RESET 是正边沿触发, 而 RESET 是负边沿触发 内部计数器启动一个 200ms 的计数 在这段期间, 对应补充的复位输出保持有效状态 如果手动复位输入强制有效的时间大于 200ms, 则 200ms 后复位输出将返回到无效状态, 与手动复位输入强制有效时间的长短无关 只要复位管脚的复位条件有效, 内部的 EEPROM 禁止 如果外部强制 RESET/ RESET 有效的时间大于内部控制的时间 t PURST, 只要手动复位输入有效, 存储器就不会响应任何的操作 图 10 选择读操作时序 总线活动 : 主控器 从地址 数据 n 数据 n+1 数据 n+2 数据 n+x 停止 SDA 线 P 应答 应答 应答 应答 无应答 图 11 顺序读操作时序 12

13 订购信息 注 : 上面以 CAT1163JI-30TE13 为例来说明 (16K I 2 C 存储器,SOIC 封装, 工业级温度,3.0~3.15V 复位门槛 电压, 带状和卷状 ) 13

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编 目录 1 CSI24WC0 1/02/04/08/16.2-10 2 CSI24WC32/64...11-18 3 CSI24WC128....19-26 4 CSI24WC256....27-34 1 CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

双竞具体产品名称

双竞具体产品名称 705 系列复位电路 1. 概述 GC705/706/707/708/813L 是一组 CMOS 微处理器监控电路, 可用来监控微处理器系统供电异常 电池故障和工作状态 和采用分立元件及多片 IC 组合成电路相比, 明显减小了系统电路的复杂性和元器件的数量, 并提高了系统的可靠性和精度 GC705/706/813L 具备以下四项基本功能 : 1) 电源开机, 关机及电源供电不足时给出复位输出 2)

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - HYM1307_CN.doc

Microsoft Word - HYM1307_CN.doc 带 56 字节 RAM 的 I 2 C 串行实时时钟芯片 特点 基于 32.768 khz 的石英晶体, 可对秒, 分, 时, 日, 月, 周以及带闰年补偿的年进行计数 带备用电池的 56 字节非易失性 RAM I 2 C 串行总线接口 可编程方波输出 自动掉电检测及电源切换电路 电池供电下, 振荡器工作时的消耗小于 500nA 可选的工业温度范围 :-40 至 +85 封装形式 :DIP8 和 SOP8

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits 概述 高精度 低功耗 MAX1937 I 2 C 接口实时时钟 / 日历 是一款低功耗 CMOS 实时时钟 / 日历芯片, 它提供一个可编程的时钟输出, 一个中断输出和一个掉电检测器, 所有的地址和数据都通过 I2C 总线接口串行传递 最大总线速度为 400Kbits/s, 每次读写数据后, 内嵌的字地址寄存器会自动递增 特性 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天,

More information

办函[2004]号

办函[2004]号 国 家 文 物 局 文 物 博 函 2016 28 号 关 于 做 好 博 物 信 息 公 开 有 关 工 作 的 通 知 各 省 自 治 区 直 辖 市 文 物 局 ( 文 化 厅 ): 为 做 好 博 物 行 业 信 息 公 开, 更 好 地 发 挥 博 物 服 务 社 会 的 功 能, 按 照 博 物 条 例 有 关 精 神 及 我 局 关 于 贯 彻 执 行 博 物 条 例 的 实 施 意

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits I 2 C 实时时钟 H YM1302 / 日历芯片钟 特点 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志 宽工作电压范围 :2.0~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 应用 便携仪器 移动电话 门禁 可编程时钟输出频率为 :32.768kHz,1024Hz,

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy 数字式温湿度传感器 HIH9000 系列 ±.7 %RH( 相对湿度 ) 精度 数据手册 HIH93 一个传感器可提供两种功能! ±.7 %RH 精度 ( 湿度性能 ) ±0.6 C 精度 (BFSL 最佳拟合直线精度 )( 温度性能 ) 工作温度范围 :-40 C - 5 C [-40 F - 57 F] ±.0 %RH 迟滞 HIH930 该系列传感器提供多种封装类型 客户可以选择外壳类型 (SIP

More information

Microsoft Word - GY7501A_USB-I2C_datasheet.doc

Microsoft Word - GY7501A_USB-I2C_datasheet.doc GY7501A/GY7512 USB-I2C Adapter 产品使用说明书 产品型号 :GY7501A/GY7512 USB-I2C Adapter 手册版本 :V2.00 更新升级 :2007-11-11 1 目 录 目录...2 一 产品简介...3 1.1 性能与技术指标...3 1.2 典型应用...3 1.3 通信协议转换...3 1.4 产品销售清单...3 1.5 技术支持与服务...3

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

元件数字标注封装形式用器件表面 Philips 标记右侧的第一行 4 个字母标注 前 3 个字母代表本产品的名称 x 代表 第 4 个字母 表示为批号编码 剩下的 2 或 3 行标识符是内部生产编号 部分型号 NE 标注 A A E x 管脚说明 TOP VIEW C T 1 8 E

元件数字标注封装形式用器件表面 Philips 标记右侧的第一行 4 个字母标注 前 3 个字母代表本产品的名称 x 代表 第 4 个字母 表示为批号编码 剩下的 2 或 3 行标识符是内部生产编号 部分型号 NE 标注 A A E x 管脚说明 TOP VIEW C T 1 8 E NE56605-42 内建看门狗定时器的系统复位芯片 综述 NE56605-42 能为多种微处理器和逻辑系统提供复位信号其门限电平为 4.2V 在电源突然掉电或电源电压下降到低于门限电平时 NE56605-42 将产生精确的复位信号 NE56605-42 内置一看门狗定时器用于监控微处理器以确保微处理器的正常运行看门狗能产生一个系统复位信号用来终止任何由于微处理器故障而引发的不正常的系统操作 NE56605-42

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

元件数字标注封装形式用器件表面 Philips 标记右侧的第一行 4 个字母标注 前 3 个字母代表本产品的名称 x 代表 第 4 个字母 表示为批号编码 剩下的 2 或 3 行标识符是内部生产编号 部分型号 NE 标注 D x 管脚说明 TOP VIEW C T 1 8 ESET E

元件数字标注封装形式用器件表面 Philips 标记右侧的第一行 4 个字母标注 前 3 个字母代表本产品的名称 x 代表 第 4 个字母 表示为批号编码 剩下的 2 或 3 行标识符是内部生产编号 部分型号 NE 标注 D x 管脚说明 TOP VIEW C T 1 8 ESET E NE5664-42 内建看门狗定时器的系统复位芯片 综述 NE5664-42 能为多种微处理器和逻辑系统提供复位信号其门限电平为 4.2V 在电源突然掉电或电源电压下降到低于门限电平时 NE5664-42 将产生精确的复位信号 NE5664-42 内置一看门狗定时器用于监控微处理器以确保微处理器的正常运行看门狗能产生一个系统复位信号用来终止任何由于微处理器故障而引发的不正常的系统操作 NE5664-42

More information

S-24C512C E2PROM

S-24C512C E2PROM -24512 www.sii-ic.com 2 线串行 E 2 POM eiko Instruments Inc., 2010-2011 ev.2.0_00_ -24512 是低消耗电流 宽工作电压范围的 2 线串行 E 2 POM 容量为 512 位, 构成为 65536 字 8 位 可进行页写入和顺序读出 特点 工作电压范围读出时 : 1.6 V ~ 5.5 V 写入时 : 1.7 V ~ 5.5

More information

S-24CM01C  E2PROM

S-24CM01C  E2PROM -24M01 www.ablic.com www.ablicinc.com 2 线串行 E 2 POM BLI Inc., 2010-2013 ev.2.0_03_ -24M01 是低消耗电流 宽工作电压范围的 2 线串行 E 2 POM 容量为 1 M 位, 构成为 131072 字 8 位 可进行页写入和顺序读出 特点 工作电压范围 读出时 : 1.6 V ~ 5.5 V 写入时 : 1.7 V

More information

803/809/810 系列 低功耗微处理器电源监控复位电路 概要 HM803/809/810 系列电路是用来监测电源电压或电池电压的微处理器复位电路 本系列电路不需要外围器件, 从而提高了系统的可靠性, 降低了系统的成本 本系列电路在被监测的电源电压低于预先设置的复位阈值时, 输出有效的复位信号

803/809/810 系列 低功耗微处理器电源监控复位电路 概要 HM803/809/810 系列电路是用来监测电源电压或电池电压的微处理器复位电路 本系列电路不需要外围器件, 从而提高了系统的可靠性, 降低了系统的成本 本系列电路在被监测的电源电压低于预先设置的复位阈值时, 输出有效的复位信号 低功耗微处理器电源监控复位电路 概要 HM803/809/810 系列电路是用来监测电源电压或电池电压的微处理器复位电路 本系列电路不需要外围器件, 从而提高了系统的可靠性, 降低了系统的成本 本系列电路在被监测的电源电压低于预先设置的复位阈值时, 输出有效的复位信号 ; 当电源电压上升到复位阈值以上时, 在至少 140 毫秒的时间内复位信号还将维持有效 HM803 提供漏极开路复位输出,HM809/810

More information

S-24C128C E2PROM

S-24C128C E2PROM -24128 www.sii-ic.com 2 线串行 E 2 POM II emiconductor orporation, 2009-2013 ev.4.0_02_h -24128 是低消耗电流 宽工作电压范围的 2 线串行 E 2 POM 容量为 128 位, 构成为 16384 字 8 位 可进行页写入和顺序读出 特点 工作电压范围 读出时 : 1.6 V ~ 5.5 V 写入时 : 1.7

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

状 态, 使 人 类 社 会 难 以 正 确 认 识 评 级 这 一 信 用 经 济 的 内 在 规 律, 难 以 真 正 总 结 西 方 错 误 评 级 的 教 训, 难 以 让 评 级 有 效 服 务 于 人 类 信 用 经 济 实 践 如 果 我 们 还 不 能 在 信 用 评 级 思 想 领

状 态, 使 人 类 社 会 难 以 正 确 认 识 评 级 这 一 信 用 经 济 的 内 在 规 律, 难 以 真 正 总 结 西 方 错 误 评 级 的 教 训, 难 以 让 评 级 有 效 服 务 于 人 类 信 用 经 济 实 践 如 果 我 们 还 不 能 在 信 用 评 级 思 想 领 世 界 需 要 评 级 新 思 想 关 建 中 2015 年 5 月 26 日 2008 年 爆 发 于 美 国 的 全 球 信 用 危 机 证 明 : 信 用 评 级 关 系 人 类 社 会 安 全 发 展, 西 方 错 误 评 级 是 危 机 的 制 造 者, 它 不 能 承 担 世 界 评 级 责 任 于 是, 改 革 国 际 评 级 体 系 成 为 时 代 的 主 流 思 潮 和 向 人 类

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

S-24CS01A/02A/04A H系列 E2PROM

S-24CS01A/02A/04A H系列  E2PROM www.ablic.com www.ablicinc.com 车载用工作温度 105 C 2 线串行 E 2 PROM BLIC Inc., 2009-2018 是用于车载的 可高温工作的 2 线串行 E 2 PROM 容量有 1 K 2 K 及 4 K 位, 构成分别是 128 字 8 位 256 字 8 位及 512 字 8 位 可进行页写入和顺序读出 注意考虑使用在汽车控制系统和医疗设备用途上的客户,

More information

21930B.book

21930B.book 24AA00/24LC00/24C00 24AA01/24LC01B 24AA014/24LC014 24C01C 24AA02/24LC02B 24C02C 24AA024/24LC024 24AA025/24LC025 24AA04/24LC04B 24AA08/24LC08B 24AA16/24LC16B 24AA32A/24LC32A 24AA64/24LC64/24FC64 24AA128/24LC128/24FC128

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

S-34C02A E2PROM

S-34C02A E2PROM www.ablicinc.com 2 线串行 E 2 PROM 用于 DIMM PD BLIC Inc., 2007-2011 是用于 DIMM PD 的低消耗电流 宽工作电压范围的 2 线串行 E 2 PROM 容量为 2 K 位, 构成是 256 字 8 位 可进行页写入 顺序读出 备有硬件保护和软件保护功能 硬件保护是指 WP 端子连接到 V CC 时, 禁止向全部存储器领域进行写入工作 软件保护是指

More information

Microsoft Word - AN3291_Chinese.doc

Microsoft Word - AN3291_Chinese.doc 飞思卡尔半导体 文件编号 :AN3291 应用笔记第 1 版,03/2007 如何在 M68HC08 HCS08 和 HCS12 微控制器上应用 IIC 模块 作者 : Stanislav Arendarik 应用工程师捷克共和国, 罗斯诺夫 1 简介 此应用笔记是如何在飞思卡尔的微控制器上应用 IIC 模块的一个示例 IIC 模块可以分别在主模式或从模式下使用 在这种情况下, 由于 IIC 总线主要用于在微控制器

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

µ⁄∂˛’¬ µ˜ÕÍÀ≥–Ú_∏ƒ

µ⁄∂˛’¬ µ˜ÕÍÀ≥–Ú_∏ƒ 目 录 NS100 ~ NS630 固定式完整断路器... / NS100 ~ NS630 插入式完整断路器... /6 NS100 ~ NS630 抽出式完整断路器... /9 NS80 ~ NS630 用于电动机保护的完整... /1 NS100 ~ NS630 直流断路器... /14 Vigicompact NS 带漏电保护功能的完整断路器... /15 NS100 ~ NS630 带电动操作机构的完整断路器...

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

Microsoft Word - SP705_813.doc

Microsoft Word - SP705_813.doc SP705/706/707/708/813L/813M 低功耗微处理器外围监控器件 高精度电压监控器 SP705/707/813L(4.65V) SP706/708/813M(4.40V) 复位脉冲宽度 -200ms 独立看门狗定时器 - 溢出周期 1.6s(SP705/706/813L/813M) 60uA 最大电源电流 开关式 TTL/CMOS 手动复位输入 Vcc 下降到 1V 时, 可产生

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc 基于 NiosII 的 SOPC 中 EEPROM Controller Core 的设计 1 2 麦胤, 柳沁 (1. 重庆邮电大学光电工程学院,2. 重庆邮电大学自动化学院, 重庆 400065) 摘要 : 介绍了如何在基于 NiosII 的 SOPC 中设计 EEPROM 的 Controller Core, 用 Verilog HDL 实现其硬件部分, 编写了相关驱动程序和应用层软件, 构建了基于

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

Microsoft Word - DS-EUC-075S070DVY(SVY)中文版 Rev.A

Microsoft Word - DS-EUC-075S070DVY(SVY)中文版 Rev.A 产品特性 效率高达 91% 恒流输出 极端低温应用至 -55 C 0-10V 调光控制 防雷保护 全方位保护 : 过压保护, 短路保护, 过温保护 IP67 安全特低电压 (SELV) 产品描述 EUC-075S070DVY(SVY) 系列为 75W 户外超低温恒流驱动器产品, 其输入电压范围为 90-305Vac, 且具有超高的功率因数 此系列产品是专为工矿灯, 隧道灯及路灯而设计 超高的效率,

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

36 2013 6 29 2012 10 24 2012 12 1 93 1998 10 25 94 95 2001 12 12 96 2007 4 15 97 (1) 200.0 50.0 100.0 500.0 98 49% 5% 20% 25% 25% 25% 25% H 598,100,000 H 59,810,000 657,910,000 H 25.0% 10 2010 9 19 5%

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

ZL1GT02 数据手册

ZL1GT02  数据手册 RJGT102 V3.03 数据手册 武汉瑞纳捷电子技术有限公司 Wuhan RunJet Electronic technology co. Ltd 特性 RJGT102-datasheet 高性能防复制加密芯片 提供看门狗定时器和对外复位功能 SHA-256 加密认证 提供用于写入用户自定义的 EEPROM 单元 遵循标准 I²C 总线协议 可锁定的 64 位用户 ID 号 2.97V~3.63V

More information

Microsoft Word - I2C总线概要.doc

Microsoft Word - I2C总线概要.doc APPLICATION NOTE date:2006-11-08 文件信息类别关键词摘要 I 2 C 总线 概要 内容 现在, 已经有越来越多的外围器件与微控制器的接口形式是二线制的 I 2 C 串行总线, 学会其基本操作已经成为广大电子电气工程师和爱好者的迫切要求 鉴于 I 2 C 总线协议原文内容十分详尽, 对于总线协议的初学者可能不易把握, 本文特意非常简要地介绍了 I 2 C 总线的概念 优点和基础协议,

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Book1

Book1 經 辦 網 點 名 稱 網 點 位 址 第 一 支 行 營 業 室 廣 東 省 廣 州 市 越 秀 區 沿 江 中 路 193 號 第 二 支 行 營 業 室 廣 東 省 廣 州 市 沿 江 西 路 145 號 吉 祥 支 行 廣 東 省 廣 州 市 東 風 中 路 313 號 荔 灣 支 行 營 業 室 廣 東 省 廣 州 市 荔 灣 區 南 岸 路 63 號 三 樓 北 京 路 支 行 營 業

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

158 中 極 學 刊 一 前言 清末著名的改良戲曲 黑籍冤魂 原為清末小說家吳趼人寫的短篇小說 名 伶夏月珊將其稍易節目 並搬演於舞臺 由於劇情發人深省 反映社會之弊 故 引 起 當 時 熱 烈 的 迴 響 黑 籍 冤 魂 可 說 是 晚 清 啟 蒙 儀 式 中 最 為 重 要 的 片 段 之 一

158 中 極 學 刊 一 前言 清末著名的改良戲曲 黑籍冤魂 原為清末小說家吳趼人寫的短篇小說 名 伶夏月珊將其稍易節目 並搬演於舞臺 由於劇情發人深省 反映社會之弊 故 引 起 當 時 熱 烈 的 迴 響 黑 籍 冤 魂 可 說 是 晚 清 啟 蒙 儀 式 中 最 為 重 要 的 片 段 之 一 中極學刊 第八輯 國立暨南國際大學 中 國 語 文 學 系 2 0 1 4 年 1 2 月 張純梅 暨南國際大學中文所 摘 要 晚 清 戲 曲 改 良 運 動 為 近 代 戲 曲 奠 定 了 現 代 性 之 基 礎 尤 其 是 於 清 末 1908 年 上 海 竣 工 的 新 式 劇 場 新 舞 臺 不 僅 使 觀 劇 空 間 硬 體 設 備 如 燈 光 照 明 道 具 舞臺設計等改變 演員 表演形態

More information

Microsoft Word - GY7502_USB-SPI_datasheet.doc

Microsoft Word - GY7502_USB-SPI_datasheet.doc GY7502 USB-SPI Adapter 产品使用说明书 产品型号 :GY7502 USB-SPI Adapter 手册版本 :V1.02 目 录 目录... 2 一 产品简介... 3 1.1 性能与技术指标... 3 1.2 典型应用... 3 1.3 通信协议转换... 3 1.4 产品销售清单... 3 1.5 技术支持与服务... 3 二 外形与接口描述... 4 2.1 产品外形...

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给 2013 年 安 全 工 程 师 法 律 知 识 答 案 解 析 一 单 选 择 题 1. 某 省 人 大 常 务 委 员 会 公 布 实 施 了 某 省 安 全 生 产 条 例, 随 后 省 政 府 公 布 实 施 了 某 省 生 产 经 营 单 位 安 全 生 产 主 体 责 任 规 定, 下 列 关 于 两 者 法 律 地 位 和 效 力 的 说 法, 正 确 的 是 ( ) A. 某 省 安

More information

MergerPdf.dll

MergerPdf.dll [2015] 2 2014 : 2014 2015 " 2014 2014 20l4 ( ) 8 ; " " 2 4 ; "10 18 ( ) 64 2 " " " 67 " " " " 3 " 2 " " " " 4 4 3 E 5 " 13 36 ; 25 20 5 ; l3 " " ( ) " " 16 1 2 7 6 12 1 " " 1 " " 4-3- 36 ( ) " " " 250

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引 TinyPower TM 电压检测器 特性 低功耗 低温度系数 高输入电压范围 ( 高达 30V) 静态电流 :1µA 输出电压精度 :±2% 内建迟滞电路 封装类型 :TO92, SOT89 和 SOT23-5 概述 HT70xxA-3 系列是一组采用 CMOS 技术实现的三端低功耗电压检测器 该系列中的电压检测器能检测固定的电压, 范围从 2.2V 到 8.2V 电压检测器系列由高精度低功耗的标准电压源

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

CH340DS2.DOC

CH340DS2.DOC CH340 手册 ( 二 ) 1 1 概述 USB 转打印口芯片 CH340 手册版本 :2B http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口或者 USB 转打印口 在打印口方式下,CH340 提供了兼容 USB 规范和 Windows 操作系统的标准 USB 打印口, 用于将普通的并口打印机直接升级到 USB 总线 有关 USB 转串口的说明请参考手册

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

新 疆 交 通 建 设 集 团 股 份 有 限 公 司 首 次 公 开 发 行 股 票 辅 导 工 作 进 展 报 告 新 疆 交 通 建 设 集 团 股 份 有 限 公 司 ( 以 下 简 称 新 疆 交 建 发 行 人 或 公 司 ) 拟 申 请 首 次 公 开 发 行 股 票 并 上 市, 公

新 疆 交 通 建 设 集 团 股 份 有 限 公 司 首 次 公 开 发 行 股 票 辅 导 工 作 进 展 报 告 新 疆 交 通 建 设 集 团 股 份 有 限 公 司 ( 以 下 简 称 新 疆 交 建 发 行 人 或 公 司 ) 拟 申 请 首 次 公 开 发 行 股 票 并 上 市, 公 长 江 证 券 承 销 保 荐 有 限 公 司 关 于 新 疆 交 通 建 设 集 团 股 份 有 限 公 司 首 次 公 开 发 行 股 票 辅 导 工 作 进 展 报 告 (2015 年 7 月 01 日 至 2015 年 9 月 30 日 ) 辅 导 机 构 新 疆 交 通 建 设 集 团 股 份 有 限 公 司 首 次 公 开 发 行 股 票 辅 导 工 作 进 展 报 告 新 疆 交 通

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

CH374DS1.DOC

CH374DS1.DOC CH374 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH374 中文手册 ( 一 ) 版本 :2A http://wch.cn CH374 是一个 USB 总线的通用接口芯片, 支持 USB-HOST 主机方式和 USB-DEVICE/SLAVE 设备方式, 内置 3 端口 HUB 根集线器, 支持低速和全速的控制传输 批量传输 中断传输以及同步 / 等时传输 在本地端,CH374

More information

YOUWJ

YOUWJ 1 / 10 f 方 向 配 置 中 泰 证 券 财 富 泰 山 1 / 10 前 言 : 方 牛 市 之 时 向 富 有 之 势 配 智 慧 之 施 置 财 富 之 始 今 日 观 点 方 向 配 置 : 周 五 市 场 震 荡 收 阴, 量 能 小 幅 萎 缩 从 技 术 面 来 看, 短 期 指 数 依 然 面 临 成 交 量 的 制 约, 如 果 量 能 无 法 持 续 放 大 的 话, 指

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

x2数据压缩模块

x2数据压缩模块 User Manual UM01708081 V1.10 Date: 2017/08/08 类别 关键词 摘要 内容 I2C, 时序分析, 参数测量本文主要介绍 ZDS4000 示波器上 I2C 时序分析软件使用, 及各项参数的测试原理 广州致远电子有限公司 修订历史版本 日期 原因 V1.00 2016/11/01 完成初稿 V1.10 2017/08/08 增加信号质量测试项 ( 毛刺 回沟 过冲

More information

<4D6963726F736F667420576F7264202D20A1BE3230313630373237A1BF32303136C4EABDADCBD5D7CFBDF0C5A9B4E5C9CCD2B5D2F8D0D0B9C9B7DDD3D0CFDEB9ABCBBEB8FAD7D9C6C0BCB6B1A8B8E6A3A8B8FAD7D93230313630373237A3A9>

<4D6963726F736F667420576F7264202D20A1BE3230313630373237A1BF32303136C4EABDADCBD5D7CFBDF0C5A9B4E5C9CCD2B5D2F8D0D0B9C9B7DDD3D0CFDEB9ABCBBEB8FAD7D9C6C0BCB6B1A8B8E6A3A8B8FAD7D93230313630373237A3A9> 优 化 组 织 架 构, 提 升 管 控 效 率 ; 总 行 参 与 贷 款 调 查, 控 制 信 贷 风 险 2015 年 底, 紫 金 农 商 银 行 为 适 应 经 营 发 展 需 要, 对 组 织 架 构 和 内 设 机 构 进 行 了 部 分 调 整, 新 设 立 了 金 融 同 业 部 和 七 个 部 门 内 设 中 心, 分 别 为 : 个 人 存 款 管 理 中 心 和 零 售 业

More information

Microsoft Word - I2C×ÜÏ߹淶.doc

Microsoft Word - I2C×ÜÏ߹淶.doc I 2 C 总线规范 目录 1 序言... 3 1.1 版本 1.0-1992... 3 1.2 版本 2.0-1998... 3 1.3 版本 2.1-2000... 3 1.4 购买 Philips 的 I 2 C 总线元件... 3 2 I 2 C 总线使设计人员和厂商都得益... 3 2.1 设计人员的得益... 4 2.2 厂商的得益... 5 3 介绍 I 2 C 总线规范... 6 4

More information