STM32F05x training in Prague - DAY1

Size: px
Start display at page:

Download "STM32F05x training in Prague - DAY1"

Transcription

1 串行外设接口 SPI 通信外设

2 1 SPI 特性 (1) 3 线全双工同步传输 2 线半双工 / 单工同步传输 时钟极性 相位以及数据位序 (MSB 或 LSB) 可设置 支持主设备 从设备, 以及多主模式 片选信号的软 硬件管理 硬件 CRC( 用于 8 位或 16 位长度的帧 ) 能触发中断的标志 (TxE RxNE MODF OVR CRCERR FRE) 可配置的时钟速度 f PCLK /2, 高达 18MHz BSY 标志用于检查当前总线上是否有数据在传输 自动发送 CRC 的 DMA 传输 ( 独立的发送 接收请求 )

3 2 SPI 特性 (2) 新增以下特性 更加灵活的片选信号 NSS 控制 NSS 脉冲模式 TI 模式 数据帧长度可配置 :4 位 ~16 位 能分别触发 DMA 请求, 各占 4 字节的发送 FIFO 和接收 FIFO 数据 pack: 如果数据帧长度短于一个字节, 可以通过单次读写操作来对 FIFO 中的 2 个数据帧进行访问

4 3 SPI 功能框图 (SD) (MCLK) (CK) (WS)

5 Motorola 模式下的数据帧格式 数据帧长度可设置 :4 位 ~16 位 无论帧长度如何, 对 FIFO 的读访问宽度必须和接收 FIFO 门限 FRXTH 的设置一致 (8 位或 16 位 ) 数据帧总是以右对齐方式存放在 SPI_DR[15:0] 时钟极性 相位可配置 SCK 必须通过上拉或下拉电阻来使得空闲时电平和 CPOL 设置的一样 数据位序可设置 :MSB 或 LSB 数据开始出现 4

6 片选信号 NSS 管理 (1) 从设备 从设备的身份由 决定 是否被选中来和主设备通信, 由输入的片选电平决定 硬件管理 : 由 NSS 引脚电平决定, 低电平表示被选中 软件管理 : 由 SSI 位决定,0 表示被选中 ;NSS 引脚可做它用 5

7 片选信号 NSS 管理 (2) 主设备 主设备的身份由 MSTR=1 决定 NSS 做输入 无论软件还是硬件管理, 信号都要为高电平 ; 否则引发冲突 产生模式错误, 置位 MODF 标志 NSS 做输出 :SSOE=1 只能是硬件管理 一旦主 SPI 使能,NSS 信号就被拉低, 直到 SPI 被禁止 如果使能了 NSS 脉冲模式, 连续通信的每帧之间会有个脉冲 6

8 新增的片选脉冲模式 脉冲模式使能 :NSSP=1 只能用于标准 SPI 且第一时钟沿采样的主设备 片选信号的输出由硬件管理 连续通信时, 每个数据帧之间 NSS 拉高一个时钟周期 单数据帧通信时, 数据传输完成后 NSS 被拉到高电平 该片选信号输出常用于从设备的锁存数据 t SCK 7

9 新增的 TI 片选模式 TI 模式使能 :FRF=1 片选信号在最后一个数据位时拉高 片选和时钟信号都由硬件管理,CPHA 和 CPOL 无效 该模式下 SSM SSI SSOE NSSP 都无效 主 从设备都可以使用该模式 主设备的 BR 决定总线波特率 从设备的 BR 决定 SO 输出高阻的保持时间 8

10 9 通信模式 : 全双工 同时发送和接收数据 至少需要 3 条线 :MISO MOSI 和 SCK(NSS 可选 ) Up to 16-bit shift register MISO MISO Up to 16-bit shift register MOSI MOSI Clock generator SCK SCK Master NSS NSS Slave NSS NSS 片选信号配置为输入 & 硬件管理 片选信号软件管理

11 通信模式 : 单工 只发送或只接收 至少需要 2 根线 ( 时钟线 SCK + 数据线 ),NSS 可选 主发送 / 从接收 :MOSI,MISO 可做 GPIO 或其他用途 主接收 / 从发送 :MISO,MOSI 可做 GPIO 或其他用途 只发送,OVF 置位无需理会 只接收, 设置 RXONLY=1 主设备只接收 : 一旦使能 SPI, 时钟就发送出去, 直到 RXONLY 或 SPE 被复位 Up to 16-bit shift register! MISO MISO Up to 16-bit shift register MOSI MOSI Clock generator SCK SCK Master NSS NSS Slave 10

12 通信模式 : 半双工 发送和接收通过一个双向数据线分时进行 至少 2 个线,NSS 可选 时钟线 :SCK 数据线 : 主设备的 MOSI 接从设备的 MISO 半双工的使能 :BIDIMODE=1 当前的通信方向由 BIDIOE 决定 方向的改变, 需要关闭 SPI 后再重新配置 Up to 16-bit shift register MISO MISO Up to 16-bit shift register MOSI MOSI Clock generator SCK SCK Master NSS NSS Slave 11

13 通信模式小结 全双工 两条数据线, 收发同时进行 单工 一条数据线 单线 & 双向分时 BIDIMODE=1 单线 & 单向 BIDIMODE=0 原来的输出线作为唯一的数据线 >> 主设备 : 使用 MOSI >> 从设备 : 使用 MISO 由 BIDIOE 控制数据方向 >> BIDIOE=1: 输出 >> BIDIOE=0: 输入 只发送 只接收 RXONLY=1 和全双工类似, 只是接收引脚可以作 GPIO 发送引脚可作它用 启动通信 : 配置并使能 SPI( 对于主设备无须发送数据, 时钟信号会自动送出 ) * 关闭通信 : >> 主设备 : 清零 SPE >> 从设备 : 片选无效或 SCK 停止 12

14 13 标准的多个从设备通信 从设备各自有独立的片选任何时刻只有被 NSS 选中的从设备可以和主设备做全双工通信 从设备共享同一片选单工只接收的从设备们可同时收到来自主设备的数据 ; 其 MISO 引脚不能参与通信 由于从设备的 MISO 都连在一起, 因此要配置成 AF-OD 以保护

15 14 多个从设备组成菊花链 数据线连成一个闭合的回路 主设备提供时钟把数据在各个从设备之间移动 每组时钟脉冲之间主设备要留给从设备足够的时间来把收到的数据拷贝到发送寄存器中 只需要一个共用的片选信号 ; 时钟信号和片选信号都连在一起

16 各占 4 字节的发送和接收 FIFO 接收 FIFO 达到 8 位或 16 位就触发 RxNE RxNE 事件 读取 SPI_DR 对 SPI_DR 读取的宽度要和 FRXTH 一致 RxNE 标志复位, 表明接收 FIFO 为空了 FIFO 当前的水平可通过 FRLVL[1:0] 查看 最多可储存 4 字节收到的数据 发送 FIFO 门限值固定 : 包含的数据 2 个字节就触发 TxE TxE 事件 写 SPI_DR TxE 标志复位, 表示发送 FIFO 为满 FIFO 当前的水平可通过 FTLVL[1:0] 查看 如果帧长度 8, 最多可储存 3 字节待发送的数据 15

17 16 接收和发送 FIFO 图示 两个单独的 32 位 FIFOs, 各自用于发送和接收 对 FIFO 的访问宽度 :8 位或 16 位 FIFO 当前位置的标志 : FTLVL[1:0], FRLVL[1:0], TxE, RxNE 不超过 8 位长度的数据帧时, 发送和接收 FIFO 各自不同的容量

18 FIFO 带来的数据 pack 当数据帧长度不超过 1 个字节, 可通过对 SPI_DR 的单次 16 位读写操作同时访问处理 2 个数据帧 先访问处理 LSB 字节的数据帧, 再 MSB 字节的数据帧 只传输有效位,2*DS 个时钟脉冲 用途 数据帧较小, 通信速度较快, 数据 pack 可降低 RxNE 和 TxE 事件的频率, 提高系统性能 尾巴数据 奇数个小数据帧组成的序列中最后一个数据帧的处理 发送 : 最后一次以 8 位写访问操作 SPI_DR 即可 接收 : 最后一次 16 位读操作后 ( 当 FRLVL=01 时 ), 把接收 FIFO 门限设置成 1 个字节 (FRXTH=1), 以产生最后一次 RxNE DMA 方式的处理, 参见 DMA Slide 17

19 数据 pack 的使用图例 数据帧长度 =4, 数据位序 =MSB, 接收 FIFO 门限 =2 字节 对 SPI_DR 的一次 16 位写操作 :0x040A 通过 8 个时钟脉冲依次发送 2 个数据 :0x0A 0x04 2 个数据帧收到 FIFO 后, 触发一次 RxNE 事件, 对 SPI_DR 的一次 16 位读操作, 从 MSB 和 LSB 字节分别获得两个数据帧的内容 DS=0011,4 位 实际传递 2 个 4 位数据 (8 个时钟脉冲 ) 18

20 SPI 通信过程的开始和维持 主设备 发送使能时 : 由主设备提供连续的时钟, 直到发送 FIFO 为空且移位寄存器也为空 ; 时钟的节奏由主设备控制 只有接收时 : 一旦使能了 SPI 和只接收模式, 时钟就由主设备送出, 时钟节奏不再受主设备控制 ; 直到 SPI 或只接收模式被关闭 从设备 关闭操作要在一个时间窗内完成 : 最后一个数据的第一个位的采样时间之后, 下一个数据开始传输之前 被动在时钟到来接收数据或发送数据 ; 因此必须在主设备送出时钟信号之前做好接收的准备, 并且把要发送的数据事先放好在发送 FIFO 中 ; 主设备要给从设备足够的时间做这些准备工作以及对收到的数据处理 19

21 SPI 通信的结束 有发送操作的通信 等待发送 FIFO 读取所有收到的数据, 直到接收 FIFO 关闭 只有接收操作的通信 或 BIDIOE=1 读取所有收到的数据, 直到接收 FIFO 关闭 20

22 SPI 的 DMA 操作 DMA 发送和接收请求 各自请求可以分别使能 只使能了 DMA 发送通道时, 发送过程中 OVR 会被置位 置位 使用 DMA 传输 & 数据 pack 时 DMA 发送 / 接收通道的 PSIZE=16 位 数据帧长度不超过 1 个字节 对尾巴数据的处理 数据自动 pack 软件要在使能 SPI 之前置位 LDMA_TX/LDMA_RX 来表明要发送 / 接收的小数据帧个数是奇数 21

23 SPI 的 CRC 计算单元特性 SPI 提供硬件 CRC 计算单元, 在保持通信可靠性的同事, 降低系统开销 发送 接收有各自的 CRC 计算单元 必需在使能 SPI 之前置位 只提供 CRC8 和 当对 8 位数据帧进行 16 位 CRC 计算时,CRC 值在总线上的传输占用 2 个数据帧时间 可编程的 CRC 在由 CPHA 和 CPOL 定义的采样时钟沿, 对每个数据位进行计算 CRC 值被最后一个传输 通信结束后自动对收到的 CRC 值进行校验 不匹配时置位 CRCERR 标志, 并可产生中断 22

24 使用 SPI 的 CRC CPU 管理通信过程 发送 : 把最后一个数据写进 SPI_DR 后软件置位 CRCNEXT, 来把 TXCRCR 的值放入发送 FIFO 只收模式 : 收到倒数第二个数据后软件置位 CRCNEXT, 表示最后一个收到的数据要和 SPI_RXCRCR 的值进行比较 收到的 CRC 放在接收 FIFO 中, 需要通过读取 SPI_DR 来复位 RxNE 标志 DMA 管理通信过程 自动完成对 CRC 的发送和接收, 软件无需操作 CRCNEXT DMA 发送通道 :CNDTR = 数据帧个数 ( 或其一半 ) DMA 接收通道 :CNDTR = 数据帧个数 ( 或其一半 ) + 1( 或 2) 收到的 CRC 值放在 memory 中 通过 DMA 读取接收 FIFO 中的 CRC 值, 复位 RxNE 23

25 24 CRC 的使用注意事项 两个 CRC 计算单元,CRC8 和 CRC16 其他长度的数据帧,CRC 不可用 CRC 计算模块的复位 置位 CRCEN, 复位 TXCRCR 和 RXCRCR 寄存器 关闭 SPI 复位 CRCEN 置位 CRCEN 使能 SPI CRC 计算阶段之后再采样新数据, 自动复位 TXCRCR 和 RXCRCR 寄存器 适用于 DMA 循环模式 从设备使用 CRC 需注意 ( 同 F2) SCK 电平稳定后才能使能 CRC, 否则即使此时 SPI 还未使能, 也会造成之后 CRC 计算的错误 NSS pin needs to be kept low between data phase and CRC phase Vs. CRC calculation takes place even if a high level on NSS pin

26 小结 :3 个使用时间窗的情况 通信连续时 数据帧之间无间隔, 时钟脉冲组是连续的 比如主设备的只接收模式, 时钟节奏不由主设备掌握 以下 3 种情况需要在时间窗内完成 0 改变接收 FIFO 1 数据阶段结束, 进入 CRC 1 CRCNXT=1 RXONLY=0 FRXTH=1 Dummy/Odd/CRC Frame CPHA=0 Control window 25

27 26 状态标志 :RxNE 和 TxE 发送 FIFO 有足够空间来写入待发送数据 :TxE 发送 FIFO 中现有数据少于或等于 16 位, 硬件置位 TxE 置位的 TxE 触发中断或 DMA 请求, 让 CPU 或 DMA 可以往发送 FIFO 写数据了 ( 写 SPI_DR) 一旦发送 FIFO 中数据多于 16 位, 硬件复位 TxE 标志 接收 FIFO 中数据达到门限 :RxNE 接收 FIFO 门限 FRXTH 0, 门限为 16 位数据 1, 门限为 8 位数据 CPU/DMA 可以从接收 FIFO 读数据了 ( 读 SPI_DR) 以上情况不满足时, 硬件复位 RxNE 标志

28 27 状态标志 :BUSY 总线忙 Busy, 常用于 用户关掉 SPI 并进入低功耗模式前检测通信是否结束 用户需要管理何时拉起从设备的片选引脚 在多主通信环境中可用于避免写冲突 以下情况也会硬件复位 BSY 标志 关闭 SPI 外设 (disable) 出现主模式错误 主模式 : 非连续通信时, 数据帧间隔的时期 从模式 : 无论通信连续与否, 每个数据帧之间会被复位 1 个时钟周期 Busy 位连续通信间隔通信备注 主设备 从设备 通信期间一直保持置位 每字节 / 半字之间,BSY 被拉低一个 SPI 的时钟周期 间隔期间被拉低 主设备只接收模式下, BSY 一直为高 ( 同 F2)

29 28 连续通信下, 主 从设备的 BSY 标志示意图 主设备 从设备

30 29 错误标志 错误类别何时发生影响如何清除 主模式错误 MODF 溢出错误 OVR 校验错误 CRCERR 帧格式错误 TIFRFE 主设备 : 硬件管理片选时 NSS 引脚被拉低 ; 软件管理片选时 SSI 位清零 多主环境下的从设备 : 发生多主冲突 从设备还未清除 RxNE( 上一个数据还未读取 ), 主设备又发送了新数据 移位寄存器中收到的校验数据和 RXCRCR 中计算出来的数值不一致 配置成 TI 模式的从设备 : 在通信过程中出现 NSS 引脚上的脉冲 ( 参见图 258) 置位 MODF 并产生中断 ; 硬件清除 SPE 和 MSTR 在 MODF 置位时对 SPI_SR 执行读或写操作 ; 写 SPI_CR1 置位 OVR 并产生中断 ; 接读取 SPI_DR, 再收缓冲中仍是上一个数据, 读取 SPI_SR 随后的数据都丢失 置位 TIFRFE 并产生中断 SPI 不会被关掉, 而是忽略掉该脉冲, 继续等待下一个 NSS 脉冲, 再发起下一次传输 数据会丢失 软件写 0 清零 读取 SPI_SR

31 30 中断管理 状态和错误标志 中断事件 中断使能控制 TxE 发送缓冲空 TxEIE RxNE 接收缓冲满 RxNEIE BUSY MODF 主模式错误 OVR 溢出错误 CRCERR CRC 校验错误 ERRIE TIFRFE TI 帧格式错误

32 SPI 模块的初始化流程 步骤 操作 备注 1 除非 TI 模式下的从设备否则不设置 2 & CPHA TI 模式不需要 3 & BIDIOE & BIDIMODE 主设备的只接收模式一旦使能 SPI 就发出时钟 4 5 TI 模式不需要 6 & SSI & SSOE 7 如果需要 TI 模式, 置位 FRF 8 如果需要数据帧之间有片选脉冲, 置位 NSSP CHPA 必需为 1 9 设置接收 FIFO 要和访问 DR 的宽度一致 10 如果使用 DMA, 配置 LDMA_TX & LDMA_RX 11 如果需要 CRC, 设置 CRC 多项式且置位 CRCEN 12 如果是主设备, 置位 MSTR 13 使能 SPI 31

33 32 Quiz What is a maximum SPI speed? When data packed mode is used? What should be in line when access the RxFIFO? Does a master take any care of the NSS signal level if it is managed by SW? How many bytes (maximum) can be stored into TxFIFO till it is full when 8-bit access is used? What should precede before SPI is disabled?

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

STM8S STM32™ MCU 8/32

STM8S  STM32™ MCU 8/32 应用笔记 STM8S 和 STM32 MCU: 一致的 8/32 位产品线实现轻松移植 前言 在屡获殊荣的 STM32 微控制器上市后, 意法半导体推出了 STM8S 系列, 借此完成了其微控制器产品线的更新 为了使 MCU 产品组合合理化, 意法半导体付出了很大努力, 特别是对旨在简化产品移植的通用外设和软件工具方面的投入 如采用新 MCU 系列进行设计, 维持开发团队所需的时间成本和费用成本是选择微控制器供应商时的主要标准

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Microsoft Word - 生活禮儀柯友惠981

Microsoft Word - 生活禮儀柯友惠981 社 交 禮 儀 課 程 簡 介 第 一 節 : 接 待 與 拜 訪 禮 儀 學 習 禮 儀, 不 是 為 了 取 悅 別 人, 而 是 為 了 開 發 自 己 內 心 的 能 量, 來 展 現 得 體 的 風 範, 並 以 合 宜 的 舉 止 及 內 在 的 修 養 來 創 造 良 好 的 應 對 趨 勢, 讓 好 禮 儀 為 您 帶 來 好 人 緣 一 待 客 服 務 的 基 本 原 則 1. 以

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

untitled

untitled 2005...8...8...8...9...10...10...10...10...10...10...10...11...11...11...11...11...11...11...12...12...12...12...12...13...13...13...13...13...13...14...14...14...14...14...15...15...15...15...16...16

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

目 录 第 一 章 电 力 行 业 内 部 控 制 操 作 指 南 概 述... 1 第 二 章 内 部 控 制 规 范 体 系 建 设 与 运 行... 11 第 三 章 内 部 环 境 建 设... 22 第 一 节 组 织 架 构... 22 第 二 节 发 展 战 略... 26 第 三 节

目 录 第 一 章 电 力 行 业 内 部 控 制 操 作 指 南 概 述... 1 第 二 章 内 部 控 制 规 范 体 系 建 设 与 运 行... 11 第 三 章 内 部 环 境 建 设... 22 第 一 节 组 织 架 构... 22 第 二 节 发 展 战 略... 26 第 三 节 附 件 电 力 行 业 内 部 控 制 操 作 指 南 ( 征 求 意 见 稿 ) 2014 年 8 月 目 录 第 一 章 电 力 行 业 内 部 控 制 操 作 指 南 概 述... 1 第 二 章 内 部 控 制 规 范 体 系 建 设 与 运 行... 11 第 三 章 内 部 环 境 建 设... 22 第 一 节 组 织 架 构... 22 第 二 节 发 展 战 略... 26 第 三

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

应 用 指 南 引 言 今 天, 嵌 入 式 系 统 几 乎 遍 布 在 人 类 社 会 的 每 个 角 落 嵌 入 式 系 统 可 以 简 单 定 义 为 属 于 大 型 系 统 或 机 器 一 部 分 的 一 种 专 用 计 算 机 系 统, 其 目 的 是 为 该 系 统 或 机 器 提 供

应 用 指 南 引 言 今 天, 嵌 入 式 系 统 几 乎 遍 布 在 人 类 社 会 的 每 个 角 落 嵌 入 式 系 统 可 以 简 单 定 义 为 属 于 大 型 系 统 或 机 器 一 部 分 的 一 种 专 用 计 算 机 系 统, 其 目 的 是 为 该 系 统 或 机 器 提 供 调 试 嵌 入 式 系 统 设 计 中 的 串 行 总 线 应 用 指 南 您 将 学 习 哪 些 内 容 : 怎 样 使 用 泰 克 示 波 器 强 大 的 触 发 解 码 和 搜 索 功 能, 高 效 解 决 嵌 入 式 系 统 设 计 问 题 应 用 指 南 引 言 今 天, 嵌 入 式 系 统 几 乎 遍 布 在 人 类 社 会 的 每 个 角 落 嵌 入 式 系 统 可 以 简 单 定 义

More information

T

T 通 訊 指 令 說 明 Pt : 1, 透 過 Port 1 以 Modbus RTU 通 訊 定 作 料 傳 輸 2, 透 過 Port 2 以 Modbus RTU 通 訊 定 作 料 傳 輸 SR : 通 訊 程 式 起 始 暫 存 器 ( 見 範 例 說 明 ) WR : 指 令 運 作 起 始 暫 存 器 ( 見 範 例 說 明 ), 共 佔 用 8 個 暫 存 器, 其 它 程 式 不

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - GY7502_USB-SPI_datasheet.doc

Microsoft Word - GY7502_USB-SPI_datasheet.doc GY7502 USB-SPI Adapter 产品使用说明书 产品型号 :GY7502 USB-SPI Adapter 手册版本 :V1.02 目 录 目录... 2 一 产品简介... 3 1.1 性能与技术指标... 3 1.2 典型应用... 3 1.3 通信协议转换... 3 1.4 产品销售清单... 3 1.5 技术支持与服务... 3 二 外形与接口描述... 4 2.1 产品外形...

More information

編輯要旨 一 教育部為了協助本國失學民眾 新住民及 其他國外朋友 有系統的學習華語文的 聽 說 讀 寫 算等識字能力及跨文化 適應 以培養具有基本公民素養的終身學 習者 特別委託新北市政府教育局新住民 文教輔導科團隊編輯本教材 二 依據上述目的 本教材共有六冊 並分為 六級 分級及單元名稱詳如下表

編輯要旨 一 教育部為了協助本國失學民眾 新住民及 其他國外朋友 有系統的學習華語文的 聽 說 讀 寫 算等識字能力及跨文化 適應 以培養具有基本公民素養的終身學 習者 特別委託新北市政府教育局新住民 文教輔導科團隊編輯本教材 二 依據上述目的 本教材共有六冊 並分為 六級 分級及單元名稱詳如下表 基 本 識 字 教 材 第 2 冊 初 二 級 教 育 部 編 印 編輯要旨 一 教育部為了協助本國失學民眾 新住民及 其他國外朋友 有系統的學習華語文的 聽 說 讀 寫 算等識字能力及跨文化 適應 以培養具有基本公民素養的終身學 習者 特別委託新北市政府教育局新住民 文教輔導科團隊編輯本教材 二 依據上述目的 本教材共有六冊 並分為 六級 分級及單元名稱詳如下表 第一冊 第二冊 第三冊 第四冊 第五冊

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

?????????? M340

?????????? M340 Modicon M340 : 串行通讯 G 编辑通讯程序 F 串行端口设置 E 处理器内置接口 D 帧格式 C 数据链路层 B 物理层 A 串行通讯简介 M3 Serial line 2006/06/06 A 串行通讯简介 2 串行通讯接口 串行通讯接口可用于总线上各个设备的数据通讯 Modbus 通讯协议 字符串模式通讯 Modbus 在某些型号的 M340 处理器模块上集成了串行通讯接口 BMX

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

Microsoft Word - 中耳的主要疾病~中耳炎.doc

Microsoft Word - 中耳的主要疾病~中耳炎.doc 投 稿 類 別 : 生 物 類 篇 名 : 中 耳 的 主 要 疾 病 中 耳 炎 作 者 : 周 譽 積 市 立 大 理 高 中 高 307 班 李 宗 遠 市 立 大 理 高 中 高 307 班 林 岑 聿 市 立 大 理 高 中 高 307 班 指 導 老 師 : 牟 建 明 老 師 - 0 - 壹 前 言 中 耳 的 主 要 疾 病 中 耳 炎 一 研 究 目 的 我 們 常 聽 到 一 些

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

1、

1、 0 友情提示 零死角玩转 STM32 系列教程由初级篇 中级篇 高级篇 系统篇 四个部分组成, 根据野火 STM32 开发板旧版教程升级而来, 且经过重新深入编写, 重新排版, 更适合初学者, 步步为营, 从入门到精通, 从裸奔到系统, 让您零死角玩转 STM32 M3 的世界, 与野火同行, 乐意惬无边 另外, 野火团队历时一年精心打造的 STM32 库开发实战指南 将于今年 10 月份由机械工业出版社出版,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Microsoft Word - 1HF12序.doc

Microsoft Word - 1HF12序.doc 每 天 早 晨 水 果 日 報 的 頭 條, 總 有 瘋 狂 的 肥 皂 劇 在 現 實 社 會 中 上 演 著, 諸 如 友 寄 隆 輝 毆 打 計 程 車 司 機 案 014 貪 瀆 案 黑 暗 騎 士 掃 射 案 ( 美 國 ) 李 宗 瑞 淫 照 外 洩 案 等, 太 多 太 多 不 可 思 議 的 刑 事 個 案 都 活 生 生 地 搬 上 現 實 世 界 演 出 而 這 也 說 明 了

More information

Microsoft Word - 讀報看科普─人體篇_橫_.doc

Microsoft Word - 讀報看科普─人體篇_橫_.doc 教 學 緣 起 在 引 領 學 生 進 行 讀 報 心 得 分 享 與 批 判 思 考 時, 發 現 學 生 普 遍 對 科 學 知 識 性 文 章 興 趣 缺 缺 ; 再 者, 近 年, 國 小 高 年 級 課 本 選 讀 科 普 文 章, 但 學 生 學 習 往 往 不 得 其 所, 無 法 融 入 課 文 中 因 此, 教 學 者 從 國 語 日 報 中 選 了 一 些 較 貼 近 生 活 的

More information

鍟嗗搧瑙傚療鈥㈤挗鏉

鍟嗗搧瑙傚療鈥㈤挗鏉 年 报 食 用 油 可 期 稳 定 改 善 稳 定 有 余, 油 脂 将 继 续 表 现 库 存 压 力 和 高 价 值 化 价 区 的 对 抗 性 投 资 机 会 更 多 是 油 脂 内 部 结 构 以 及 其 对 粕 类 相 对 强 弱 的 变 动 同 时 有 菜 籽 油 和 棕 榈 油 的 改 善 可 预 期 相 较 于 其 它 大 多 数 商 品 的 表 现, 油 脂 系 在 2015 年

More information

席 远 杨 一 人 了, 正 当 她 开 枪 时 却 发 现 子 弹 没 了 该 死, 只 能 赤 手 空 拳 了 洛 水 云 与 席 远 杨 交 起 手 来, 洛 水 云 出 手 招 招 致 命 想 那 席 远 杨 也 不 是 泛 泛 之 辈, 很 快 掌 握 了 洛 水 云 出 招 路 数 看

席 远 杨 一 人 了, 正 当 她 开 枪 时 却 发 现 子 弹 没 了 该 死, 只 能 赤 手 空 拳 了 洛 水 云 与 席 远 杨 交 起 手 来, 洛 水 云 出 手 招 招 致 命 想 那 席 远 杨 也 不 是 泛 泛 之 辈, 很 快 掌 握 了 洛 水 云 出 招 路 数 看 美 人 洛 水 云 / 作 者 : 慕 橙 子 第 一 卷 第 一 章 : 惨 死 睁 开 双 眼, 洛 水 云 马 上 闭 上, 再 睁 开, 又 闭 上 如 此 反 复 几 次 之 后, 洛 水 云 确 认 自 己 不 是 在 做 梦, 她 是 真 实 的 躺 在 床 上 这 究 竟 是 怎 么 回 事, 她 不 是 死 了 么? 是 谁 救 了 她 么? 如 果 她 被 救, 那 席 远 杨

More information

Microsoft Word - 2B802內文.doc

Microsoft Word - 2B802內文.doc 行 政 法 導 讀 001 行 政 法 導 讀 大 綱 序 言 壹 行 政 法 解 題 思 維 貳 行 政 法 選 擇 題 概 覽 參 行 政 法 常 考 爭 點 一 考 題 趨 勢 二 行 政 法 考 試 上 所 關 心 的 重 點 序 言 一 行 政 法 並 不 難 行 政 法 科 目 考 題 內 容 可 以 說 是 包 羅 萬 象, 考 生 要 能 夠 精 確 掌 握 實 務 上 各 種 領

More information

東區校園中法治教育種子師資教學研習營

東區校園中法治教育種子師資教學研習營 1 錄 錄 2 3 年 律 立 蓮 理 理 行 年 例 理 念 念 力 說 參 念 律 說 老 律 不 律 念 參 參 兩 力 參 兩 4 行 年 蓮 行 兩 見 參 律 行 說 論 兩 行 狀 參 參 蓮 蘭 列 律 年 律 理 律 年 參 行 行 兩 行 行 參 聯 參 聯 行 行 理 來 5 列 利 律 論 例 老 老 狀 老 老 了 利 老 索 老 行 不 老 錄 6 老 尿 例 律 留 量

More information

閱 讀 素 材 V.S 分 組 方 式 的 差 異 化 教 學 工 具 表 班 級 :( ) 閱 讀 素 材 V.S 分 組 方 式 獨 立 閱 讀 夥 伴 閱 讀 ( 同 質 性 ) 夥 伴 閱 讀 ( 異 質 性 ) 友 善 陪 伴 虛 心 受 教 國 語 日 報 新 聞 生 活 文 藝 兒 童

閱 讀 素 材 V.S 分 組 方 式 的 差 異 化 教 學 工 具 表 班 級 :( ) 閱 讀 素 材 V.S 分 組 方 式 獨 立 閱 讀 夥 伴 閱 讀 ( 同 質 性 ) 夥 伴 閱 讀 ( 異 質 性 ) 友 善 陪 伴 虛 心 受 教 國 語 日 報 新 聞 生 活 文 藝 兒 童 差 異 化 教 學 在 老 梅 103 年 12 月 差 異 化 教 學 是 老 師 對 於 學 習 者 需 求 的 回 應, 這 句 話 雖 然 動 人, 但 要 瞭 解 每 個 學 生 不 同 的 需 求 並 予 以 回 應, 則 在 教 學 上 需 要 不 斷 的 嘗 試 觀 察 與 調 整, 老 師 不 僅 需 要 高 度 的 專 業 敏 銳 的 觀 察 十 足 的 創 意 等 等, 更 重

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

HWD900参数手册

HWD900参数手册 C S M T HWDF16P HWDF16P 参数手册 1. 概述 华微公司 HWDF16P 全仿国外 Xilinx 公司 XCF16P 产品 华微公司推出的军品级 HWDF16P 是一款 16Mbit 在系统可编程可配置 Flash 它是 1.8V 可重写的 PROM, 可以满足在全军范围工作的系统以可靠的非挥发性方式来存储大量 FPGA 配置数据流的要求 当 FPGA 工作在主串行模式 (Master

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

Microsoft PowerPoint - 異常事件管理20101106 [相容模式]

Microsoft PowerPoint - 異常事件管理20101106 [相容模式] 異 常 事 件 管 理 紀 淑 靜 2010.11.06 1 異 常 事 件 管 理 一 前 言 二 病 人 ( 個 案 ) 為 中 心 的 思 維 三 機 構 中 常 見 的 異 常 事 件 四 異 常 災 害 形 成 的 原 因 五 異 常 事 件 預 防 的 意 義 六 跌 倒 高 危 險 群 的 評 估 七 異 物 梗 塞 八 異 常 事 件 處 理 流 程 九 案 例 分 析 十 結 語

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

CYUSB3011 EZ-USB(TM) FX3: SuperSpeed USB Controller

CYUSB3011 EZ-USB(TM) FX3: SuperSpeed USB Controller EZ-USB FX3 SuperSpeed USB µ µ TDI TRST# TMS TCK TDO FSLC[0] FSLC[1] FSLC[2] CLKIN JTAG CLKIN_32 XTALIN XTALOUT DATA[31:0] CTL[12:0] PMODE[2:0] INT# GPIF II ARM926EJ -S Embedded SRAm (512kB) 32 EPs HS/FS/LS

More information

<4D6963726F736F667420576F7264202D20393732C2E0BEC7A6D2A4ADB14DB0EAA4E52DB8D5C344A8F72E646F63>

<4D6963726F736F667420576F7264202D20393732C2E0BEC7A6D2A4ADB14DB0EAA4E52DB8D5C344A8F72E646F63> 一 選 擇 題 1. 師 說 : 巫 醫 樂 師 百 工 之 人, 君 子 不 齒, 今 其 智 乃 反 不 能 及 君 子 不 齒 意 謂 : (A) 不 足 君 子 掛 齒 (B) 君 子 不 屑 與 之 同 列 (C) 使 君 子 不 敢 輕 視 (D) 使 君 子 感 到 羞 辱 2. 道 之 所 存, 師 之 所 存 也 意 謂 : (A) 凡 通 曉 道 業 之 人, 皆 可 為 吾 師

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

有 不 同 想 法 馬 上 記 錄 下 來, 作 為 寫 作 和 較 特 殊 題 型 的 答 題 材 料 把 握 這 四 到, 再 加 上 考 試 用 書 的 重 點 整 理, 搭 配 服 用, 讓 課 文 與 你 不 再 有 距 離 2. 考 試 成 績 好 差, 心 情 也 好 差, 可 不 可

有 不 同 想 法 馬 上 記 錄 下 來, 作 為 寫 作 和 較 特 殊 題 型 的 答 題 材 料 把 握 這 四 到, 再 加 上 考 試 用 書 的 重 點 整 理, 搭 配 服 用, 讓 課 文 與 你 不 再 有 距 離 2. 考 試 成 績 好 差, 心 情 也 好 差, 可 不 可 國 文 科 讀 書 分 享 楊 欣 蓓 老 師 我 有 我 的 路, 有 我 的 夢, 夢 中 的 那 個 世 界, 甘 講 伊 是 一 場 空 還 記 得 高 三 時, 老 師 常 常 覺 得 自 己 就 像 耳 機 裡 播 放 的 憨 人, 天 天 聽 著 五 月 天 唱 出 迷 惘, 卻 還 是 無 法 為 有 些 煩 躁 不 安 的 生 活 找 出 穩 定 的 著 力 點, 老 師 希 望

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

第 二 章 古 代 慢 慢 睁 开 眼 睛, 我 的 面 前 出 现 一 个 女 孩 子, 大 约 十 六 七 岁, 身 穿 淡 绿 色 布 裙, 头 上 两 个 小 圆 髻 特 别 娇 俏 可 爱 医 院 什 么 时 候 出 现 这 么 一 个 可 爱 的 古 装 护 士 啊! 这 医 院 真 有

第 二 章 古 代 慢 慢 睁 开 眼 睛, 我 的 面 前 出 现 一 个 女 孩 子, 大 约 十 六 七 岁, 身 穿 淡 绿 色 布 裙, 头 上 两 个 小 圆 髻 特 别 娇 俏 可 爱 医 院 什 么 时 候 出 现 这 么 一 个 可 爱 的 古 装 护 士 啊! 这 医 院 真 有 迷 糊 妻 主 : 夫 君 太 妖 孽 / 作 者 : 小 骨 头 第 一 章 穿 越 今 天 又 是 解 剖 课, 作 为 一 名 医 学 生, 对 此 我 表 示 万 分 头 痛! 怪 只 怪 当 初 高 考 差 了 几 分, 远 离 最 爱 的 文 学 专 业 而 去 学 医! 想 当 初 鲁 迅 先 生 弃 医 从 文, 我 这 是 与 伟 大 的 学 者 思 想 家 背 道 而 驰 啊!

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

LCDWIKI 1.44inch SPI Module MSP1443 用户手册 CR2018-MI inch SPI Module MSP1443 用户手册 1 / 15 Rev1.0

LCDWIKI 1.44inch SPI Module MSP1443 用户手册 CR2018-MI inch SPI Module MSP1443 用户手册   1 / 15 Rev1.0 1.44inch SPI Module MSP1443 用户手册 www.lcdwiki.com 1 / 15 Rev1.0 产品概述 该款 LCD 模块采用 4 线制 SPI 通信方式, 驱动 IC 为 ST7735S, 分辨率为 128x128 该模块包含有 LCD 显示屏, 背光控制电路 产品特点 1.44 寸彩屏, 支持 16BIT RGB 65K 色显示, 显示色彩丰富 128X128 分辨率,

More information

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui,

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui, 中 国 科 学 技 术 大 学 工 程 硕 士 学 位 论 文 地 铁 内 移 动 通 信 室 内 覆 盖 分 析 及 应 用 作 者 姓 名 : 学 科 专 业 : 盛 海 亮 电 子 与 通 信 导 师 姓 名 : 李 辉 副 教 授 赵 红 媛 高 工 完 成 时 间 : 二 八 年 三 月 十 日 University of Science and Technology of Ch A dissertation

More information

Microsoft PowerPoint - ryz_030708_pwo.ppt

Microsoft PowerPoint - ryz_030708_pwo.ppt Long Term Recovery of Seven PWO Crystals Ren-yuan Zhu California Institute of Technology CMS ECAL Week, CERN Introduction 20 endcap and 5 barrel PWO crystals went through (1) thermal annealing at 200 o

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

徐汇教育214/3月刊 重 点 关 注 高中生异性交往的小团体辅导 及效果研究 颜静红 摘 要 采用人际关系综合诊断量表 郑日昌编制并 与同性交往所不能带来的好处 带来稳定感和安全感 能 修订 对我校高一学生进行问卷测量 实验组前后测 在 够度过更快乐的时光 获得与别人友好相处的经验 宽容 量表总分和第 4 项因子分 异性交往困扰 上均有显著差 大度和理解力得到发展 得到掌握社会技术的机会 得到 异

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

餐 飲 業 中 主 要 的 衛 生 問 題 根 據 美 國 疾 病 防 制 局 (Center for Disease Control; CDC) 發 表, 食 品 中 的 危 害 發 生 的 主 要 因 子, 可 以 歸 為 10 點 : (1) 二 次 污 染 (2) 不 當 的 冷 卻 溫 度

餐 飲 業 中 主 要 的 衛 生 問 題 根 據 美 國 疾 病 防 制 局 (Center for Disease Control; CDC) 發 表, 食 品 中 的 危 害 發 生 的 主 要 因 子, 可 以 歸 為 10 點 : (1) 二 次 污 染 (2) 不 當 的 冷 卻 溫 度 餐 飲 衛 生 管 理 與 衛 生 教 育 訓 練 餐 飲 業 中 主 要 的 衛 生 問 題 根 據 美 國 疾 病 防 制 局 (Center for Disease Control; CDC) 發 表, 食 品 中 的 危 害 發 生 的 主 要 因 子, 可 以 歸 為 10 點 : (1) 二 次 污 染 (2) 不 當 的 冷 卻 溫 度 與 步 驟 (3) 事 先 準 備 時 間 間

More information

下 图 是 连 接 的 方 法 在 这 篇 文 章 里 还 会 介 绍 如 何 建 立 大 量 的 进 程 无 线 节 点, 如 何 将 这 些 传 感 器 集 成 到 一 个 开 源 家 庨 自 劢 化 服 务 器 除 了 在 手 机 App 上 看 到 家 里 的 情 形 外, 你 还 可 以

下 图 是 连 接 的 方 法 在 这 篇 文 章 里 还 会 介 绍 如 何 建 立 大 量 的 进 程 无 线 节 点, 如 何 将 这 些 传 感 器 集 成 到 一 个 开 源 家 庨 自 劢 化 服 务 器 除 了 在 手 机 App 上 看 到 家 里 的 情 形 外, 你 还 可 以 技 术 牛 就 是 仸 性! 国 外 DIY 鬼 才 打 造 智 慧 家 庨 家 里 养 了 宠 物 ( 例 如 宠 物 狗 ) 的 朋 友 都 有 一 个 困 扰, 当 外 出 时 丌 能 随 时 看 见 家 里 的 情 况 因 此, 我 想 到 了 用 开 源 硬 件 (Arduino) 和 开 源 软 件 (OpenHAB) 制 作 一 个 实 用 的 家 庨 自 劢 化 系 统 但 是 这

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 直通华为 HCNA/HCNP 系列 R 篇 3 路由器接口配置与管理 王达著名 IT 图书作者 博客地址 :http://blog.csdn.net/lycb_gz/ 机构名称 : 王达大讲堂 http://edu.csdn.net 同步方式下 Serial 接口配置与管理 参考教材 : 华为路由器学习指南 一 同步方式物理属性配置 配置同步方式下 Serial 接口, 包括配置同步方式下 Serial

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

团 市 委 首 笔 爱 心 捐 款 及 物 资 已 送 至 芦 山 地 震 灾 区 : 近 日, 团 市 委 从 省 青 少 年 发 展 基 会 获 悉, 团 市 委 为 地 震 灾 区 募 集 的 首 笔 爱 心 捐 款 和 捐 赠 物 资 已 送 至 芦 山 地 震 灾 区 4 月 20 日,

团 市 委 首 笔 爱 心 捐 款 及 物 资 已 送 至 芦 山 地 震 灾 区 : 近 日, 团 市 委 从 省 青 少 年 发 展 基 会 获 悉, 团 市 委 为 地 震 灾 区 募 集 的 首 笔 爱 心 捐 款 和 捐 赠 物 资 已 送 至 芦 山 地 震 灾 区 4 月 20 日, 吴 都 青 年 在 线 主 办 : 共 青 团 鄂 州 市 委 员 会 http://www.ezyouth.gov.cn 新 浪 微 博 : http://weibo.com/ezhouyouth 腾 讯 微 博 : http://t.qq.com/ezhouyouth 2013 年 第 1 期 ( 总 第 1 期 ) Email:ezhouyouth@163.com 团 市 委 首 笔 爱 心

More information

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 10 章通用串口界面 Universal Serial Interface 版本 : 1.5 日期 : 2007.5. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 陈安都湖南长沙 - 中南大学编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限,

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

第 020 课 SPI 裸板 目录 1 第 001 节 _SPI 协议介绍 2 第 002 节 _ 使用 GPIO 实现 SPI 协议操作 OLED 3 第 003 节 _SPI_FLASH 编程 _ 读 ID 4 第 004 节 _SPI_FLASH 编程 _ 读写 5 第 005 节 _ 在 O

第 020 课 SPI 裸板 目录 1 第 001 节 _SPI 协议介绍 2 第 002 节 _ 使用 GPIO 实现 SPI 协议操作 OLED 3 第 003 节 _SPI_FLASH 编程 _ 读 ID 4 第 004 节 _SPI_FLASH 编程 _ 读写 5 第 005 节 _ 在 O 第 020 课 SPI 裸板 目录 1 第 001 节 _SPI 协议介绍 2 第 002 节 _ 使用 GPIO 实现 SPI 协议操作 OLED 3 第 003 节 _SPI_FLASH 编程 _ 读 ID 4 第 004 节 _SPI_FLASH 编程 _ 读写 5 第 005 节 _ 在 OLED 上显示 ADC 的值 6 第 006 节 _ 使用 SPI 控制器 7 第 007 节 _ 移植到

More information

BC7276-8/16位LED数码管及16键键盘接口芯片

BC7276-8/16位LED数码管及16键键盘接口芯片 BC7276 8/16 位 LED 数码管及 16 键键盘接口芯片 ( 第四版 ) 特点 : 可驱动 8 位或 16 位共阳式数码管或 64/128 只 LED 16 个显示位均可单独闪烁显示 单独 LED 闪烁 闪烁速度可调 段寻址可以单独控制任意显示段 译码显示时小数点显示不受显示更新影响 可直接访问显示寄存器 ( 显示特殊字符 ) 16 键键盘支持任意组合键和长按键 标准 SPI 串口, 可用

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配 磁 性 绝 对 值 编 码 器 GEL 2035 带 有 SSI 或 CANopen 接 口 LENORD +BAUER... automates motion. 技 术 信 息 版 本 09.13 概 述 紧 凑 设 计 的 磁 性 绝 对 值 触 感 器, 最 大 总 分 辨 率 为 24 位 带 一 个 高 容 量 锂 离 子 备 用 蓄 电 池 的 无 磨 损 电 子 变 速 箱 磁 阻 扫

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

四 本 學 期 程 架 構 : (1) 學 活 流 程 與 策 略 視 聽 故 事 時 事 節 令 生 活 問 題 預 習 單 朗 讀 問 答 討 論 討 論 理 解 欣 賞 想 像 練 習 章 結 構 敘 寫 技 巧 修 辭 要 領 仿 作 造 字 原 理 字 義 釐 清 字 音 字 形 辨 析

四 本 學 期 程 架 構 : (1) 學 活 流 程 與 策 略 視 聽 故 事 時 事 節 令 生 活 問 題 預 習 單 朗 讀 問 答 討 論 討 論 理 解 欣 賞 想 像 練 習 章 結 構 敘 寫 技 巧 修 辭 要 領 仿 作 造 字 原 理 字 義 釐 清 字 音 字 形 辨 析 臺 北 縣 瓜 山 國 民 小 學 99 學 年 度 六 年 級 國 語 領 域 程 計 畫 設 計 者 : 劉 美 君 林 純 慧 第 1 學 期 一 本 領 域 每 週 學 習 節 數 (5) 節, 銜 接 或 補 強 節 數 (2) 節, 本 學 期 共 (14) 節 二 本 學 期 學 習 目 標 : (1) 培 養 學 生 擁 有 喜 愛 學 習 與 崇 高 氣 節 的 人 生 觀 (2)

More information

用户软件设计手册

用户软件设计手册 DSDPV1 系列芯片用户硬件设计手册 V1.0 北京鼎实创新科技股份有限公司 2016.8 目录 第一章技术指标...1 一 技术指标...1 第二章 DSDPV1-RSU 芯片硬件设计说明... 3 一 外观图...3 二 DSDPV1-RSU 芯片封装 (BGA256)... 3 三 DSDPV1-RSU 管脚定义...4 四 关于推荐电路... 8 1. 获取方式... 8 2. 网址及联系方式...

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

BC7277-9位LED数码管及16键键盘接口芯片

BC7277-9位LED数码管及16键键盘接口芯片 BC7277 9 位 LED 数码管及 16 键键盘接口芯片 ( 第四版 ) 特点 : 可驱动 9 位共阴式数码管或 72 只 LED 无需外围器件 9 个显示位均可单独闪烁显示 单独 LED 闪烁 闪烁速度可调 段寻址可以单独控制任意显示段 译码显示时小数点显示不受显示更新影响 可直接访问显示寄存器 ( 显示特殊字符 ) 16 键键盘支持任意组合键和长按键 标准 SPI 串口, 可用 2 线 3

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

本科学生毕业论文

本科学生毕业论文 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路,

More information

untitled

untitled ~ 1 ~ 2 3 4 34 17 75 65% 錄 949 5 6 7 12 1985 6 29 18 500 17 60% 錄 949 7 1 20 18 20 1 27 31 8 4 8 9 10 11 Wood 1990 12 13 Ulla Zang ( www.ullazang.com http://web.ntit.edu.tw/~wxy/pte st/ptest.htm 14 15

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information