Microsoft Word - HYM1307_CN.doc

Size: px
Start display at page:

Download "Microsoft Word - HYM1307_CN.doc"

Transcription

1 带 56 字节 RAM 的 I 2 C 串行实时时钟芯片 特点 基于 khz 的石英晶体, 可对秒, 分, 时, 日, 月, 周以及带闰年补偿的年进行计数 带备用电池的 56 字节非易失性 RAM I 2 C 串行总线接口 可编程方波输出 自动掉电检测及电源切换电路 电池供电下, 振荡器工作时的消耗小于 500nA 可选的工业温度范围 :-40 至 +85 封装形式 :DIP8 和 SOP8 应用 复费率电度表 IC 卡水表 IC 卡煤气表 移动电话 便携仪器 传真机 电池电源产品 电视机 概述 是一款低功耗 带 56 个字节用户非易失性 SRAM(NV SRAM) 全 BCD 码的时钟 / 日历电路 地址和数据通过串行 I 2 C 总线传递 时钟 / 日历提供秒 分 小时 周 日 月和年信息 对小于 31 天的月, 月末的日期自动进行调整, 还具有闰年校正的功能 时钟可以工作在 24 小时格式或带 AM/PM 标志的 12 小时格式 有一个内置的电压判断电路, 具有检测电源掉电功能, 在电源掉电时, 可自动切换到由备用电源 ( 电池 ) 供电 定购信息 型号工作温度范围封装类型 DIP8 0 ~70 Z SOP8 N DIP8-40 ~+85 ZN SOP8 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

2 方框图和管脚功能 方框图 CELL X1 分频单元 X2 VBAT GND 电压检测 Vdd + 5V 数据总线 RTC 单元 RAM (56*8) 地址总线 ROM 指令译码单元 控制逻辑 I2C 总线接口 SCL SDA 方波输出 SQW/OUT 图 1 内部方框图 管脚图 DIP8 SOP8 管脚说明 序号 符号 描述 1 X kHz 晶体引脚 2 X kHz 晶体引脚 3 V BAT +3V 电池输入 4 GND 地 5 SDA 串行数据输入 / 输出 SDA 是 I 2 C 串行接口的输入 / 输出线, 此引脚为漏极开路 6 SCL 串行时钟 SCL 是 I 2 C 串行接口的时钟线, 此引脚为漏极开路 7 SQW/OUT 方波输出驱动脚, 此引脚为漏极开路 8 V CC 电源输入引脚 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

3 绝对最大额定值 参数名称 额定值 单位 所有引脚到地的电压 -0.5~+7.0 V 贮存温度 -55~+125 焊接温度 DIP8,10 秒 260 SOP8,10sec 260 推荐直流工作条件 参 数 符号 最小值 典型值 最大值 单位 注释 电源电压 V CC V 逻辑 1 电压 V IH 2.2 V CC +0.3 V 逻辑 0 电压 V IL V 电池电压 V BAT V 直流电气特性参 数 符号 最小值 典型值 最大值 单位 注释 输入漏电流 (SCL) I LI 1 µa I/O 漏电流 (SDA & SQW/OUT) I LO 1 µa 逻辑 0 输出 (I OL =5mA) V OL 0.4 V 电源工作电流 I CCA 1.5 ma 7 静态电流 I CCS 200 µa 1 电池电流 (OSC ON); SQW/OUT OFF I BAT na 2 电池电流 (OSC ON); SQW/OUT ON(32kHz) I BAT na 电源失效电压 V PF V BAT 1.25 V BAT V BAT V 8 交流电气特性参 数 符号 最小值 典型值 最大值 单位 注释 SCL 时钟频率 f SCL khz STOP 条件和 START 条件之间总线的空闲时间 t BUF 4.7 µs START 条件的保持时间 t HDSTA 4.0 µs 3 SCL 低周期 t LOW 4.7 µs SCL 高周期 t HIGH 4.0 µs START 条件的建立时间 t SUSTA 4.7 µs 数据保持时间 t HDDAT 0 µs 4,5 数据建立时间 t SUDAT 250 ns SDA 和 SCL 的上升时间 t R 1000 ns 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

4 SDA 和 SCL 的下降时间 t F 300 ns STOP 条件的建立时间 t SUSTO 4.7 µs 每个总线上的容性负载 C B 400 pf 6 I/O 电容 (T A =25 ) C I/O 10 pf 指定晶体负载电容 (T A =25 ) 12.5 pf 注 :1 V CC,SDA 和 SCL 都为 5.0V 2 V CC=0V,V BAT=3V 3 该周期之后, 将产生第一个时钟脉冲 4 器件内部必须提供一个至少 300ns 的 SDA 信号保持时间 ( 参考 SCL 信号的 V IHMIN), 以跳过 SCL 下降边沿的未定 义区域 5 最大 t HDDAT 只适用于器件 SCL 信号的 LOW 周期 (t LOW) 没有变宽的情况 6 C B 一个总线上的电容总和 (pf) 7 I CCA SCL 为最大频率, 即 100kHz 8 V BAT=3.0V 时的 V PF 时序 应用信息 电源 V CC 为 +5V 输入,V BAT 为任意标准 3V 锂电池或其它电源输入 当 V CC 下降到低于 1.25 V BAT 时, 该器件将停止进程中的访问, 并复位地址计数器 此时, 为防止无容差系统中的有错误数据写入该器件, 将不识别输入到该器件的数据 当 V CC 下降到低于 V BAT 时, 该器件将切换到低电流电池备份模式 在电源上电情况下, 当 V CC 大于 V BAT +0.2V 时, 将从由电池供电切换到由 V CC 供电 ; 当 V CC 大于 1.25 V BAT 时, 器件可识别输入数据 内部电路如图 1 所示 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

5 信号引脚说明 V CC,GND: 通过这两个引脚为 提供直流电源,V CC 为 +5V 输入 在正常情况下, 使用 5V 电压时, 该器件可正常使用并能读写数据 当接一个 3V 电池, 且 V CC 低于 1.25 V BAT 时, 将禁止对该器件的读写操作, 但计时功能不受低输入电压的影响 当 V CC 下降到低于 V BAT 时,RAM 和计时器切换到由 V BAT 端的外部电源供电 ( 名义上的 3V 直流电压 ) V BAT : 标准 3V 锂电池或其它电池输入 电池电压必须保持在 2.0V 到 3.5V 时才能正常工作 额定写保护开关电压由内部电路设置为 1.25 V BAT,V CC 低于此电压下, 不能访问 RTC 和用户 RAM 在 25 无电源的条件下, 一个等于或大于 48mAhr 的锂电池支持 工作超过十年 SCL( 串行时钟输入 ): 用于同步串行接口上的数据传送 SDA( 串行数据输入 / 输出 ): 两线串行接口的输入 / 输出脚, 该脚为漏极开路脚, 需要接一个外部上拉电阻 SQW/OUT( 方波 / 输出驱动器 ): 当 SQWE 位置为 1 时, 该脚将输出一个方波 (1Hz,4.096kHz,8.192kHz 或 kHz) 该脚为漏极开路脚, 需要接一个外部上拉电阻 SQW/OUT 可以工作在 V CC 供电模式或 V BAT 供电模式 X1,X2: 标准 kHz 石英晶体的连接端, 内部振荡电路所指定的晶体负载电容 (CL) 为 12.5pF 关于晶体选择和晶体布局的更多信息, 请查阅应用笔记 1 使用昊昱 RTC 时, 选择晶体所需注意事项 也可由一个 kHz 的外部振荡器驱动, 这种情况下,X1 脚连接到外部振荡器的输出,X2 脚悬空 时钟精度时钟的精度主要依赖于晶体的精度和晶体微调负载电容同振荡电路负载电容之间的匹配精度 温度的变化会引起晶体频率的漂移, 从而给时钟带来了额外的误差 如果外部电路噪声耦合到振荡电路, 将导致时钟变快 详细情况请参阅应用笔记 1 使用昊昱 RTC 时, 选择晶体所需注意事项 附加信息请参阅应用笔记 2 与 8051 的接口 RTC 和 RAM 地址 的 RTC 和 RAM 寄存器地址如图 2 所示 RTC 寄存器的地址范围是 00H~07H,RAM 的地址范围是 08H~3FH 在多字节传递期间, 当地址指针指向 3FH 时,RAM 末端为空, 之后地址指针将环绕到时钟空间的起始地址 00H 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

6 图 2 地址图 时钟和日历通过读取正确的寄存器字节可获得时间和日历的信息 RTC 寄存器图解如图 3 所示, 通过写入正确的寄存器字节可设置或初始化时间和日历 时间和日历寄存器内的数据为 BCD 码格式 寄存器 0 的位 7 为时钟的停止位, 当该位置为 1 时, 振荡电路停止工作, 当置为 0 时, 振荡电路工作 ( 注意 : 所有寄存器的状态在上电初始化时, 都是无定义的, 因此, 在初始化配置的时候必须使振荡电路工作 (CH=0)) 可工作在 12 小时模式或 24 小时模式 小时寄存器的第 6 位定义为 12/24 小时模式选择位, 当该位为高时, 选择 12 小时模式 在 12 小时模式下, 该寄存器的第 5 位是 AM/PM 标志位, 逻辑高表示 PM 在 24 小时模式下, 第 5 为表示第 2 个 10 小时位 (20-23 时 ) 在一个 START 时, 当前时间将存储到二级寄存器, 时间信息从这些二级寄存器中读出, 此时, 时钟可以继续运行 这消除了重读寄存器的需要, 以防止主寄存器在读期间更新 图 3 计时寄存器 控制寄存器 控制寄存器用于控制 SQW/OUT 脚的输出 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 OUT 0 0 SQWE 0 0 RS1 RS0 OUT( 输出控制 ): 当方波输出被禁止时, 该位控制 SQW/OUT 脚的输出电平 如果 SQWE=0, 当 OUT=1 时,SQW/OUT 脚的逻辑电平为 1;OUT=0 时,SQW/OUT 为 0 SQWE( 方波使能 ): 当该位置为 1 时, 将使能振荡器输出 方波输出频率由 RS0 位和 RS1 位的值来决 定, 当方波输出设为 1Hz, 时钟寄存器在方波的下降沿进行更新 RS( 比率选择位 ): 当方波输出有效时, 这些位控制方波的输出频率, 表 1 列举了 RS 位的值和频率之间 的对应关系 表 1. 方波输出频率 RS1 RS2 SQW 输出频率 0 0 1Hz kHz kHz 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

7 kHz 两线串行数据总线 I 2 C 总线接口 支持 I 2 C 总线传递协议 发送数据到总线上的器件为传送器, 接收数据的器件为接收器 控制信息的器件为主器件, 受控制的器件为从器件, 主器件控制总线, 产生串行时钟, 控制总线通道, 并产生 START 和 STOP 条件 在 I 2 C 总线上是作为一个从器件工作的 典型的 I 2 C 总线协议配置如图 4 所示 图 4 I 2 C 总线配置 图 5,6 和 7 说明了数据是如何在 I 2 C 总线上传递的 只有在总线不忙时, 数据传递才能被初始化 在数据传递期间, 在时钟线为 HIGH 时, 数据线必须保持稳定, 此时数据线上的改变将被视为控制信号 因此, 定义总线条件如下 : Bus not busy: 数据和时钟线都保持高 (HIGH) Start data transfer: 当时钟线为 HIGH 时, 数据线由 HIGH 跳变到 LOW( 下降沿 ) 定义为 START( 开始 ) 条件 Stop data transfer: 当时钟线为 HIGH 时, 数据线由 LOW 跳变到 HIGH( 上升沿 ) 定义为 STOP( 结束 ) 条件 Data valid: 在一个 START 之后, 如果数据线在时钟的高电平 (HIGH) 周期保持稳定, 则此时数据线的状态就代表有效数据 在时钟的低电平 (LOW) 周期内, 必须改变数据线上的数据, 每位数据需要一个时钟脉冲 每个数据传递都是始于 START 条件, 而终于 STOP 条件 在 START 条件和 STOP 条件间传递的数据数量是没有限制的, 这个主要由主器件决定 信息是以字节的方式传递的, 并且每个接收器在第九位应答 在 I 2 C 总线规范中, 定义了常规模式 (100kHz 时钟速率 ) 和快速模式 (400kHz 时钟速率 ) 只工作在常规模式 (100kHz) Acknowledge: 当被寻址时, 每个接收器必须在接收每个字节后产生一个应答信号 主器件必须产生一个相应的额外时钟脉冲 在应答的时钟脉冲期间, 接收器必须将 SDA 线拉低, 使它在这个时钟脉冲的高电平期间保持稳定的低电平 当然, 必须考虑建立和保持时间 主器件必须通过在从器件不产生时钟的最后一个字节不产生一武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

8 个响应, 向从器件通知数据结束 从器件必须释放数据线 SDA(HIGH), 允许主器件产生一个停止条件 图 5 I 2 C 总线总数传输 根据 R/~W 位的状态, 有两种数据传递方式 : 1 从主发送器到从接收器的数据传递: 主器件传递的第一个字节是从器件的地址, 接下来是许多的数据字节, 从器件在每收到一个字节后都将返回一个应答位给主器件 数据是从最高位开始依次传递的 2 从从发送器到主接收器的数据传递: 第一个字节 ( 从器件地址 ) 由主器件传递, 接着从器件返回一个应答位, 从器件开始传递大量的数据字节 主器件在所有接收字节 ( 不是在最后一个字节 ) 之后返回一个应答位 而在最后一个接收字节的末端, 返回一个 非应答 信号 主器件产生所有的串行时钟脉冲 START 条件和 STOP 条件, 每次传递都是终止于 STOP 或在 START 后重复 因为, 重复 START 也是下一个串行传递的开始端, 故总线将不会被释放, 数据是从最高位开始依次传递的 可工作在以下两种模式 : 1 从接收器模式( 写 模式 ): 器件通过 SDA 和 SCL 接收串行数据和时钟 在接收到每一个字节后, 就发送一个应答位, 而 START 和 STOP 为串行传递的始末端 在接收到从地址和方向位 ( 见图 6) 后, 硬件自动执行地址识别 在主器件产生起动 (START) 条件后, 接收到的第一个字节是地址字节, 此地址字节包含有 7 位的 地址 : , 跟随在指示位 (R/~W) 之后 ( 此时为写操作, 该位为 0) 在收到并译出地址信息后, 器件就在 SDA 线上输出应答位 在 识别从地址 + 写入位后, 主器件将发送一个寄存器地址到, 以此在 上设置寄存器指针, 之后, 主器件将开始发送数据字节 ( 在接受完每个字节之后都将返回一个应答位 ), 所有数据字节传输完成后, 主器件将产生一个停止 (STOP) 条件来中断数据的写入 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

9 图 6 数据写 从器件接收模式 2 从发送器模式( 读 模式 ): 对第一个字节的接收和处理, 同从接收器模式一样 然而在这种模式中, 指示位将指示传输地址是无效的 当 SCL 上有串行时钟输入时, 串行数据通过 在 SDA 上传输,START( 起动 ) 条件和 STOP( 停止 ) 条件用于识别串行传输的始末端 ( 见图 7) 在由主器件产生的 START( 起动 ) 条件后, 接收到的第一个字节为器件地址, 包含一个 7 位的 地址 : , 跟随在指示位 (R/~W) 之后 ( 此时为读操作, 该位为 1) 在接收并译出地址信息后, 将在 SDA 线上输入一个应答 然后, 将开始传送以寄存器指针所指定的寄存器地址为起始的数据 如果在读模式初始化前没有写入寄存器指针, 则读出的第一个地址是存储在寄存器指针中的最后一个 当要终止读操作时, 必须接收一个 不应答 图 7 数据读 从器件发送模式 典型应用电路 图 8 典型应用电路图 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

10 封装尺寸 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

11 武汉昊昱微电子股份有限公司电话 : 传真 : 网址 :

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits I 2 C 实时时钟 H YM1302 / 日历芯片钟 特点 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志 宽工作电压范围 :2.0~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 应用 便携仪器 移动电话 门禁 可编程时钟输出频率为 :32.768kHz,1024Hz,

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits 概述 高精度 低功耗 MAX1937 I 2 C 接口实时时钟 / 日历 是一款低功耗 CMOS 实时时钟 / 日历芯片, 它提供一个可编程的时钟输出, 一个中断输出和一个掉电检测器, 所有的地址和数据都通过 I2C 总线接口串行传递 最大总线速度为 400Kbits/s, 每次读写数据后, 内嵌的字地址寄存器会自动递增 特性 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天,

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编 目录 1 CSI24WC0 1/02/04/08/16.2-10 2 CSI24WC32/64...11-18 3 CSI24WC128....19-26 4 CSI24WC256....27-34 1 CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 低功耗实时时钟芯片 (RTC)BL5372 1. 概述 BL5372 是一款低功耗实时时钟电路, 通过 I 2 C 两线接口电路可以与 CPU 实时通信, 主要用于一切需要提供时基的系统中 该芯片能够产生多种周期性中断脉冲 ( 最长周期可长达 1 个月 ), 还具有两套报时系统 BL5372 内部集成一低功耗的稳压电源, 故能够使恶劣的环境条件下仍能保持振荡器正常在很低的功耗工作 ( 典型值 :400nA@3.6V)

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

I2C RTC

I2C RTC M41T82, M41T83 带有电池自动切换功能的串行 I 2 C 总线实时时钟 (RTC) 数据手册 - 生产数据 QFN16 (4 mm x 4 mm) SO8 (4.90 mm x 3.90 mm) 18 1 SOX18, 内嵌晶体 (11.61 mm x 7.62 mm) 复位 (RST) 输出 看门狗定时器 可编程 8 位计数器 / 定时器 电池备份的 7 字节用户 SRAM 电池低电压标志

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

RTC

RTC 具有报警功能的低功耗串行实时时钟 (RTC) 数据手册 - 生产数据 内嵌晶体 LCC8 1.5 x 3.2mm 无需外部晶体 特性 串行实时时钟 (RTC), 具有报警功能 400 khz I 2 C 串行接口 可记录秒 分 小时 星期 日期 月 年和世纪的存储器映射寄存器 1/10 秒 1/100 秒寄存器 350 na 计时电流 @3 V 计时工作电压低至 1.0 V 1.3 V 至 4.4

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy 数字式温湿度传感器 HIH9000 系列 ±.7 %RH( 相对湿度 ) 精度 数据手册 HIH93 一个传感器可提供两种功能! ±.7 %RH 精度 ( 湿度性能 ) ±0.6 C 精度 (BFSL 最佳拟合直线精度 )( 温度性能 ) 工作温度范围 :-40 C - 5 C [-40 F - 57 F] ±.0 %RH 迟滞 HIH930 该系列传感器提供多种封装类型 客户可以选择外壳类型 (SIP

More information

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体

Infrared Temperature Sensor 用户手册 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体 Infrared Temperature Sensor 用户手册 产品特点 本模块是一款非接触式红外温度传感器, 能根据被测物体的红外辐射能量大小和波长分布来检测物体的表面温度 通讯接口是 SMBus, 支持 PWM 输出 传感器具体型号是 MLX90614ESF-BCC, 带有温度梯度补偿 其金属封装里同时集成了红外感应热电堆探测器芯片和信号处理专用集成芯片 由于集成了低噪声放大器 17 位模数转换器和强大的数字信号处理单元,

More information

Microsoft Word - HYM _CN.doc

Microsoft Word - HYM _CN.doc 低功耗, 限摆率 RS-485/RS-422 收发器 HYM481/483/485/487-491/1487 一 概述和特性 HYM481 HYM483 HYM485 HYM487-HYM491 以及 HYM1487 是用于 RS-485/RS-422 通信的低功耗收发器, 每个器件中都具有一个驱动器和一个接收器 HYM483 HYM487 HYM488 以及 HYM489 具有限摆率驱动器, 可以减小

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

Microsoft Word - GY7501A_USB-I2C_datasheet.doc

Microsoft Word - GY7501A_USB-I2C_datasheet.doc GY7501A/GY7512 USB-I2C Adapter 产品使用说明书 产品型号 :GY7501A/GY7512 USB-I2C Adapter 手册版本 :V2.00 更新升级 :2007-11-11 1 目 录 目录...2 一 产品简介...3 1.1 性能与技术指标...3 1.2 典型应用...3 1.3 通信协议转换...3 1.4 产品销售清单...3 1.5 技术支持与服务...3

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft Word - AN3291_Chinese.doc

Microsoft Word - AN3291_Chinese.doc 飞思卡尔半导体 文件编号 :AN3291 应用笔记第 1 版,03/2007 如何在 M68HC08 HCS08 和 HCS12 微控制器上应用 IIC 模块 作者 : Stanislav Arendarik 应用工程师捷克共和国, 罗斯诺夫 1 简介 此应用笔记是如何在飞思卡尔的微控制器上应用 IIC 模块的一个示例 IIC 模块可以分别在主模式或从模式下使用 在这种情况下, 由于 IIC 总线主要用于在微控制器

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Microsoft Word - I2C×ÜÏ߹淶.doc

Microsoft Word - I2C×ÜÏ߹淶.doc I 2 C 总线规范 目录 1 序言... 3 1.1 版本 1.0-1992... 3 1.2 版本 2.0-1998... 3 1.3 版本 2.1-2000... 3 1.4 购买 Philips 的 I 2 C 总线元件... 3 2 I 2 C 总线使设计人员和厂商都得益... 3 2.1 设计人员的得益... 4 2.2 厂商的得益... 5 3 介绍 I 2 C 总线规范... 6 4

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

MergerPdf.dll

MergerPdf.dll [2015] 2 2014 : 2014 2015 " 2014 2014 20l4 ( ) 8 ; " " 2 4 ; "10 18 ( ) 64 2 " " " 67 " " " " 3 " 2 " " " " 4 4 3 E 5 " 13 36 ; 25 20 5 ; l3 " " ( ) " " 16 1 2 7 6 12 1 " " 1 " " 4-3- 36 ( ) " " " 250

More information

mm420£±£±-ÐÂ

mm420£±£±-ÐÂ MICROMASTER 420 MICROMASTER 420 MICROMASTER 420 CD-ROM 1 3 2 4 3 5 4 MICROMASTER 420 6 4.1 4.2 7 4.3 7 4.4 8 4.5 BOP / AOP 9 5 10 5.1 P0010 P0970 10 5.2 11 5.3 BOP (P0700=1) / 11 5.4 (AOP) 11 5.5 11 5.6

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

亮麗水顏

亮麗水顏 口 夏 口 亀 喘 嗽 之 論 治 演 講 者 : 和 平 中 醫 聯 合 診 所 李 阿 立 醫 師 時 間 :101/08/12 14:00~15:30 地 點 : 臺 中 市 大 墩 文 化 中 心 李 院 長 小 檔 案 62 年 度 國 家 考 試 中 醫 師 特 種 考 試 及 格 台 中 市 中 醫 師 公 會 第 十 五 屆 理 事 長 和 平 中 醫 醫 院 創 院 院 長 日 本

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

活動編號及名稱

活動編號及名稱 社 會 服 務 活 動 撥 款 申 請 詳 細 摘 要 二 零 一 六 至 二 零 一 七 年 度 第 一 季 文 委 會 文 件 2016/ 第 4 號 ( 於 15.3.2016 會 議 討 論 ) 活 動 編 號 及 名 稱 社 1: 蟬 鳴 荔 香 機 構 資 料 活 動 目 的 及 內 容 舉 辦 日 期 及 地 點 參 加 者 資 料 活 動 對 象 : 區 內 所 有 殘 障 元 朗

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

Microsoft Word - I2C总线概要.doc

Microsoft Word - I2C总线概要.doc APPLICATION NOTE date:2006-11-08 文件信息类别关键词摘要 I 2 C 总线 概要 内容 现在, 已经有越来越多的外围器件与微控制器的接口形式是二线制的 I 2 C 串行总线, 学会其基本操作已经成为广大电子电气工程师和爱好者的迫切要求 鉴于 I 2 C 总线协议原文内容十分详尽, 对于总线协议的初学者可能不易把握, 本文特意非常简要地介绍了 I 2 C 总线的概念 优点和基础协议,

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information

Microsoft Word - ±£Ö¤PCF8563ʵʱʱÖÓ¾«¶ÈµÄÉè¼Æ·½·¨.doc

Microsoft Word - ±£Ö¤PCF8563ʵʱʱÖÓ¾«¶ÈµÄÉè¼Æ·½·¨.doc 保证 PCF8563 实时时钟精度的设计方法 目录 1 介绍... 2 2 比较... 2 3 特性... 2 4 上电复位... 2 5 振荡器... 2 6 振荡器调谐... 5 7 Y2K... 6 8 初始化... 6 9 警告... 7 10 定时器... 7 11 PC 板的布线... 8 12 部分电路关闭 保护二极管... 8 13 保持低功耗的提示... 9-1 - 1 介绍 Philips

More information

EG4002 红外热释电处理芯片数据手册

EG4002 红外热释电处理芯片数据手册 ELERONIC GINT EG400 芯片数据手册 REV 1. 版本变更记录 版本号 日期 描述 V1.0 01 年 11 月 1 日 EG400 数据手册初稿 V1.1 01 年 0 月 6 日 添加光敏电阻应用图 V1. 01 年 04 月 6 日 更新光敏电阻应用图 / 1 目录 1. 特点... 4. 描述... 4. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义...

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information