MDT10F630/ MDT10F676

Size: px
Start display at page:

Download "MDT10F630/ MDT10F676"

Transcription

1 MDT10F271 数据手册 ( 版本 V1.2) 1.0 器件概述 8 位 MTP CMOS 单片机 存储器 : MTP 空间 :2K*14 位 可经受 1000 次写操作 SRAM 空间 :128 字节 8 级深硬件堆栈 I/O 引脚配置具有独立方向控制的 11 个 I/O 引脚 :PA 口 5 个 PC 口 6 个一个只能作输入的 PA3 口 高灌 / 拉电流可直接驱动 LED PA 端口引脚电平变化中断 PA PC 端口独立的可编程弱上拉 定时器 Timer0: 带 8 位可编程预分频器的 8 位定时器 / 计数器 增强型 Timer1: - 带有预分频器的 16 位定时器 / 计数器 - 外部 Timer1 门控 ( 计数使能 ) - INTOSC 模式或者在 LP 模式下可选择使用 OSC1 和 OSC2 作为 Timer1 的振荡器 3 路 10bitPWM 模块 - 3 路 10bit 周期相同 脉宽可调的 PWM 模块 6 通道 12 位 ADC 6 个外部 ADC 输入 可选择 ADC 启动方式 ADC 参考电压可用软件选择为内部或外部参考 可通过配置寄存器选择片内 2V,3V,4V 或者 VDD 作为参考电压 双时钟系统外部高速时钟 : 高达 20MHz 内部高速时钟 :16MHz RC(Fcpu 支持 16Mhz 8MHz 4MHz 2MHZ 1MHZ 500KHz 250KHz) 内部低速时钟 :RC 振荡器 31KHz 高性能的 RISCCPU 仅需学习 35 条指令 除跳转指令外的所有指令都是单周期的 直接 间接和相对寻址模式 特殊特性 - 高精度内部振荡器, 出厂时精度校准为 +/-1% 全温全压偏差小于 +/-2% - 可用软件选择的频率范围为 250kHz 到 16MHz - 软件可选的 31kHz 内部振荡器 - 节能的休眠模式 - 宽工作电压范围 (2.2V 到 5.5V) - 工业级温度范围 - 上电复位 (Powe-onReset,POR) - 上电延时定时器 (Power-upTimer,PWRT) 和振荡器起振定时器 (OscillatorStart-upTimer,OST) - 带软件控制选择的 LVR 低电压侦测选择 ( 侦测电压有 1.7V 2.1V 3.8V 可选 ) - 带片上振荡器 ( 振荡器频率可由软件选择, 当预分频比最大时其标称值为 268 秒 ) 并且可软件使能的增强型低电流看门狗定时器 (WatchdogTimer,WDT) - 带上拉的主复位, 可复用为输入引脚 - 可编程代码保护 低功耗特性待机电流 : - 电压为 2.2V 时, 典型值 500nA 工作电流 : - 频率为 8MHz 电压为 2.2V 时, 典型值为 500uA - 频率为 31kHz 电压为 2.2V 时, 典型值为 11uA 看门狗定时器电流 : - 电压为 2.2V 时, 典型值为 1uA 通过两个引脚 (PA0,PA1) 实现在线串行编程和调试 器件 ROM RAM I/O 12 位 A/D 转换器 ( 通道数 ) Timer PWM 输出 唤醒功能引脚数目 MDT10F 封装 SOT23-6 MSOP10 PDIP8 SOP8 MSOP8 PDIP14 SOP14 1 Product Specification (V1.2)

2 1.0 器件概述... 1 系统结构图 引脚说明 存储器构成 程序存储器构成 数据存储器构成 PCL 和 PCLATH 间接寻址 IAR 和 MSR 寄存器 看门狗定时器 (WDT) 复位 概述 上电复位 MCLR 上电延时定时器 (PWRT) 欠压复位 延时时序 电源控制 (PSTA) 寄存器 系统时钟 概述 振荡器控制 时钟源模式 外部时钟模式 内部时钟模式 时钟切换 双速时钟启动模式 掉电模式 ( 休眠 ) 故障保护时钟监控器 中断 中断特殊功能寄存器 外部中断 TIMER0 中断 PORTA 电平变化中断 A/D 中断 中断的现场保护 I/O 端口 PORTA 和 CPIOA 寄存器 PORTC 和 CPIOC 寄存器 其它引脚功能 PORTA 引脚说明和引脚图 PORTC 引脚说明和引脚图 定时器及 PWM Product Specification (V1.2)

3 7.1 TIMER0 模块 带门控的 TIMER1 模块 PWM 的输出 模拟数字转换器 (ADC) 模块 ADC 框图 ADC 的配置 ADC 的工作过程 ADC 寄存器定义 配置寄存器 CONFIG OPTION 指令表 电气特性 绝对极限参数 直流电器特性 交流电气特性 开发支持 仿真信息 烧录信息 封装信息 SOT P-DIP 8 PIN SOP 8 PIN MSOP 8 PIN MSOP 10 PIN P-DIP 14 PIN SOP 14 PIN 汇春知识产权政策 专利权 著作权 Product Specification (V1.2)

4 系统结构图 配置 INT 程序总线 MTP 2K 14 程序存储器 程序计数器 8 级深堆栈 (11 位 ) 数据总线 RAM 128 字节文件寄存器 8 PORTA 指令寄存器 直接寻址 7 RAM 地址 9 地址 MUX 8 间接寻址 FSR 寄存器 PORTC 状态寄存器 8 MUX 指令译码和控制 上电延时定时器 振荡器起振定时器 3 ALU 时序发生 上电复位 看门狗定时器 8 W 寄存器 欠压复位 内部振荡电路 MCLR VDD VSS Timer0 Timer1 PWM 模数转换器 VREF AN0 AN1 AN2 AN3 AN4 AN5 4 Product Specification (V1.2)

5 1.2 封装脚位图 引脚图 (SOT26-6) PA0/AN0/ULPWU 1 6 PA1/AN1 VSS 2 5 VDD PC3/PWM2/INT1 3 4 PA3/MCLR/VPP 引脚图 (DIP SOP MSOP) VDD PA5/T1CKI/OSC1/CLKIN PA3/MCLR/VPP PC3/PWM2/INT VSS PA0/AN0/ULPWU PA1/AN1 PA2/AN2/T0CKI 引脚图 (MSOP) VDD PA5/T1CKI/OSC1/CLKIN PA3/MCLR/VPP PC5/PWM0 PC4/PWM VSS PA0/AN0/ULPWU PA1/AN1 PA2/AN2/T0CKI PC3/PWM2/INT 引脚图 (DIP SOP) VDD 1 14 VSS PA5/T1CKI/OSC1/CLKIN 2 13 PA0/AN0/ULPWU PA4/AN3/T1G/OSC2/CLKOUT 3 12 PA1/AN1 PA3/MCLR/VPP 4 11 PA2/AN2/T0CKI PC5/PWM PC0/AN4 PC4/PWM1 6 9 PC1/AN5/VREF PC3/PWM2/INT1 7 8 PC2/INT0 5 Product Specification (V1.2)

6 1.3 引脚说明 名称 PA0/AN0/SCK/ULPWU PA1/AN1/SDA PA2/AN2/T0CKI PA3/M C L R /VPP PA4/AN3/T 1 G /OSC2/CLKOUT PA5/T1CKI/OSC1/CLKIN PC0/AN4 PC1/AN5/VREF PC2/INT0 PC3/PWM2/INT1 PC4/PWM1 PC5/PWM0 功能 输入类型 输出类型 说明 PA0 TTL CMOS 具有可编程上拉和电平变化中断的 PORTA I/O AN0 AN A/D 通道 0 输入 SCK ST 串行编程和调试时钟输入 ULPWU AN 超低功耗唤醒输入 PA1 TTL CMOS 具有可编程上拉和电平变化中断的 PORTA I/O AN1 AN A/D 通道 1 输入 SDA ST/TTL CMOS 串行编程和调试数据输入输出 PA2 ST CMOS 具有可编程上拉和电平变化中断的 PORTA I/O AN2 AN A/D 通道 2 输入 T0CKI ST Timer0 时钟输入 PA3 TTL 带电平变化中断的 PORTA 输入 M C L R ST 带有内部上拉的主复位 VPP HV 编程电压 (9.5V) PA4 TTL CMOS 具有可编程上拉和电平变化中断的 PORTA I/O AN3 AN A/D 通道 3 输入 T 1 G ST Timer1 门控 ( 计数使能 ) OSC2 XTAL 晶振 / 谐振器 CLKOUT CMOS Fosc/4 输出 PA5 TTL CMOS 具有可编程上拉和电平变化中断的 PORTA I/O T1CKI ST Timer1 时钟 OSC1 XTAL 晶振 / 谐振器 CLKIN ST 外部时钟输入 /RC 振荡器连接 PC0 TTL CMOS PORTC I/O AN4 AN A/D 通道 4 输入 PC1 TTL CMOS PORTC I/O AN5 AN A/D 通道 5 输入 VREF AN A/D 外部参考电压 PC2 TTL CMOS PORTC I/O INT0 ST 外部中断 PC3 TTL CMOS PORTC I/O PWM2 CMOS PWM 输出 INT1 ST 外部中断 PC4 TTL CMOS PORTC I/O PWM1 CMOS PWM 输出 PC5 TTL CMOS PORTC I/O PWM0 CMOS PWM 输出 VDD VDD 电源 正电源端 VSS VSS 电源 接地参考端 图注 : AN = 模拟输入或输出 CMOS = CMOS 兼容输入或输出 HV = 高压 ST = 带 CMOS 电平的施密特触发器输入 TTL = TLL 兼容输出 XTAL = 晶振 6 Product Specification (V1.2)

7 2.0 存储器构成 2.1 程序存储器构成 MDT10F271 具有一个 11 位程序计数器, 可以对 2Kx14 的程序存储空间进行寻址 而 MDT10F271 仅在物理上实现了第一个 2Kx14(0000h-07FFh) 的存储空间 访问该边界以外的单元将导致实际访问存储器的第一个 2Kx14 存储空间 复位向量地址为 0000h, 中断向量地址为 0004h PC<12:0> CALL, RET RTFI, RTIW 13 1 级堆栈 2 级堆栈 8 级堆栈 复位向量 0000h 中断向量 片上程序存储器 回到 0000h-07FFh 0004h 0005h 07FFH 1000H FFFFH 复位向量 (0000H) 具有一个字长的系统复位向量 (0000H) 上电复位 ; 看门狗复位 ; 外部复位 ; 欠压复位 ; 发生上述任一种复位后, 程序将从 0000H 处重新开始执行, 系统寄存器也都将恢复为默认值 根据 STATUS 寄存器中的 TO 和 PD 标志位的内容可以判断系统复位方式 中断向量 (0004H) 中断向量的地址为 0004H 一旦有中断响应, 程序计数器 PC 的当前值就会存入堆栈缓存器并跳转到 0004H 开始执行中断服务程序 2.2 数据存储器构成 数据存储器被分为 4 个存储区 (bank), 其中包含通用寄存器 (GeneralPurposeRegister,GPR) 和特殊功能寄存器 (SpecialFunctionRegister,SFR) 特殊功能寄存器位于每个存储区开头的 32 个单元 通用寄存器位于 Bank0 中的 20h-7Fh 和 Bank1 中的 A0h-BFh 寄存器单元中 它们以静态 RAM 的方式实现 Bank1 Bank2 和 Bank3 中的寄存器单元 F0h-FFh 指向 Bank0 中的地址单元 70h-7Fh 所有其他的 RAM 均未实现, 读取它 7 Product Specification (V1.2)

8 们时将返回 0 STATUS 寄存器的 RP1 RP0 位为存储区选择位 00 > 选定 Bank0 01 > 选定 Bank1 10 > 选定 Bank2 11 > 选定 Bank 通用文件寄存器 在 MDT10F271 中通用寄存器是按 128x8 的形式实现的 可直接访问每个寄存器或通过文件选择寄存器 (FileSelectRegister,MSR) 间接访问每个存储器 ( 见第 2.4 节 间接寻址 IAR 和 MSR 寄存器 ) 特殊功能寄存器 特殊功能寄存器是 CPU 和外设模块用来控制所需的器件操作的寄存器, 这些寄存器都实现为静态 RAM 特殊功能寄存器可分为两类 : 内核与外设 本章仅讲述与 内核 有关的特殊功能寄存器 那些与外设功能部件的操作有关的特殊功能寄存器将在相应的外设功能部件章节中讲述 图 2-2: 数据存储映射 8 Product Specification (V1.2)

9 IAR TMR0 PCL STATUS MSR PORTA PORTC PCLATH INTS PIFB TMR1L TMR1H T1STA WDTCON PWMT0EB ADRESH 寄存器地址 00h 01h 02h 03h 04h 05h 06h 07h 08h 09h 0Ah 0Bh 0Ch 0Dh 0Eh 0Fh 10h 11h 12h 13h 14h 15h 16h 17h 18h 19h 1Ah 1Bh 1Ch 1Dh 1Eh 1Fh 20h IAR OPT_REG PCL STATUS MSR CPIOA CPIOC PCLATH INTS PSTA OSCCON ADINS PAPHR PAINTR ADRESL 寄存器地址 80h 81h 82h 83h 84h 85h 86h 87h 88h 89h 8Ah 8Bh 8Ch 8Dh 8Eh 8Fh 90h 91h 92h 93h 94h 95h 96h 97h 98h 99h 9Ah 9Bh 9Ch 9Dh 9Eh 9Fh A0h IAR PCL STATUS MSR PCPHR PCLATH INTS PRL0L PRL1L PRL2L T1PRLL ADC0CN 寄存器地址 A 10B 10C 10D 10E 10F A 11B 11C 11D 11E 11F 120 IAR PCL STATUS MSR PCLATH INTS PWM0L PWM1L PWM2L T1PRLH EPR0 EPR1 EPR2 ADS0 寄存器地址 A 18B 18C 18D 18E 18F A 19B 19C 19D 19E 19F 1A0 通用寄存器 96 字节 6Fh 70h 通用寄存器 32 字节 禁止访问 访问 70h~7Fh BFH F0h 禁止访问访问 70h~7Fh 16F 170 禁止访问访问 70h~7Fh 1EF 1F0 7Fh BANK 0 BANK 1 FFh 17F BANK 2 BANK 3 1FF 未实现的数据存储单元, 读为 0 未实现的数据存储单元, 读为 0 9 Product Specification (V1.2)

10 特殊功能寄存器位定义 (BANK0) 地址名称 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 默认值 BANK0 00H IAR 使用 MSR 的内容对数据存储器进行寻址来寻址此单元 ( 不是物理寄存器 ) xxxx xxxx 01H TMR0 TIMER0 模块寄存器 xxxx_xxxx 02H PCL PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 0000_ H STATUS IRP RP1 RP0 TF PF Z HC C 0001_1xxx 04H MSR 间接数据存储器地址指针 xxxx-xxxx 05H PORTA PA5 PA4 PA3 PA2 PA1 PA0 --x0_x000 06H 未实现 07H PORTC PC5 PC4 PC3 PC2 PC1 PC0 --x0_ H 未实现 09H 未实现 0AH PCLATH PC10 PC9 PC8 ----_0000 0BH INTS GIE PEIE TIS INT0E PAIE TIF INT0F PAIF 0000_0000 0CH PIFB INT1E ADIE OSFIE TMR1IE INT1F ADIF OSFIF TMR1IF 0000_0000 0DH 未实现 0EH TMR1L 16 位 TMR1 低字节的保持寄存器 xxxx-xxxx 0FH TMR1H 16 位 TMR1 高字节的保持寄存器 xxxx-xxxx 10H T1STA T1GINV TMR1GE T1CKPS T1OSCEN T1SYNC TMR1CS TMR1ON 0000_ H 未实现 12H 未实现 13H 未实现 14H 未实现 15H 未实现 16H 未实现 17H 未实现 18H WDTCON WDTPS SWDTEN ---0_ H 未实现 1AH PWMT0E B 1BH 未实现 1CH 未实现 1DH 未实现 PWM2_EN PWM1_EN PWM0_EN TMR0_EN T1GSS ---0_0011 1EH ADRESH ADRESH 0000_0000 1FH 未实现 图注 : = 未实现单元读为 0, u = 不变, x = 未知, q = 取值视情况而定, 阴影 = 未实现 注 1:OSCCON 寄存器的 OSTS 位复位为 0, 带双速启动且 LP HS 或 XT 被选定为振荡器 2: 配置字寄存器中的 CFG_MCLRE 为 1 时 RA3 上拉使能 10 Product Specification (V1.2)

11 特殊功能寄存器位定义 (BANK1) 地址名称 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 默认值 BANK1 80H IAR 使用 MSR 的内容对数据存储器进行寻址来寻址此单元 ( 不是物理寄存器 ) xxxx xxxx 81H OPT_REG INT1_ EDG_SEL INT0_ EDG_SEL TCS TCE PSC PS 1111_ H PCL PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 0000_ H STATUS IRP RP1 RP0 TF PF Z HC C 0001_1xxx 84H MSR 间接数据存储器地址指针 xxxx-xxxx 85H CPIOA PORTA 三态控制位 --11_ H 未实现 87H CPIOC PORTA 三态控制位 --11_ H 未实现 89H 未实现 8AH PCLATH PC10 PC9 PC8 ----_0000 8BH INTS GIE PEIE TIS INT0E PAIE TIF INT0F PAIF 0000_0000 8CH 未实现 8DH 未实现 8EH PSTA ULPWUE SBOREN MCR IER POR BOR --01_100q 8FH OSCCON IRCF OSTS HTS LTS SCS -101_x000 90H 未实现 91H ADINS 92H 未实现 93H 未实现 94H 未实现 模拟选择位 -111_ H PAPHR PAPH5 PAPH4 PAPH2 PAPH1 PAPH0 --11_ H PAINTR PORTA 电平变化中断允许位 --00_ H 未实现 98H 未实现 99H 未实现 9AH 未实现 9BH 未实现 9CH 未实现 9DH 未实现 9EH ADRESL ADRESL 0000_0000 9FH 未实现 11 Product Specification (V1.2)

12 特殊功能寄存器位定义 (BANK2) 地址名称 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 默认值 BANK2 100H IAR 使用 MSR 的内容对数据存储器进行寻址来寻址此单元 ( 不是物理寄存器 ) xxxx xxxx 101H 未实现 102H PCL PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 0000_ H STATUS IRP RP1 RP0 TF PF Z HC C 0001_1xxx 104H MSR 间接数据存储器地址指针 xxxx-xxxx 105H 未实现 106H 未实现 107H PCPHR WPUCG PORTC 弱上拉控制位 -111_ H 未实现 109H 未实现 10AH PCLATH PC10 PC9 PC8 ----_ BH INTS GIE PEIE TIS INT0E PAIE TIF INT0F PAIF 0000_ CH 未实现 10DH 未实现 10EH 未实现 10FH 未实现 110H 未实现 111H 未实现 112H PRL0L PWM0 占空比重载寄存器低字节 0000_ H PRL1L PWM1 占空比重载寄存器低字节 0000_ H PRL2L PWM2 占空比重载寄存器低字节 0000_ H 未实现 116H 未实现 117H T1PRLL PWM0/1/2 周期寄存器的低字节 0000_ H 未实现 119H 未实现 11AH 未实现 11BH 未实现 11CH 未实现 11DH 未实现 11EH ADC0CN ADFM SC ADC_EN GO/DONE 11FH 未实现 CM 0000_ Product Specification (V1.2)

13 特殊功能寄存器位定义 (BANK3) 地址名称 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 默认值 BANK3 180H IAR 使用 MSR 的内容对数据存储器进行寻址来寻址此单元 ( 不是物理寄存器 ) xxxx xxxx 181H 未实现 182H PCL PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 0000_ H STATUS IRP RP1 RP0 TF PF Z HC C 0001_1xxx 184H MSR 间接数据存储器地址指针 xxxx-xxxx 185H 未实现 186H 未实现 187H 未实现 188H 未实现 189H 未实现 18AH PCLATH PC10 PC9 PC8 ----_ BH INTS GIE PEIE TIS INT0E PAIE TIF INT0F PAIF 0000_ CH 未实现 18DH 未实现 18EH 未实现 18FH 未实现 190H 未实现 191H 未实现 192H PWM0L PWM0 占空比比较寄存器低字节 0000_ H PWM1L PWM1 占空比比较寄存器低字节 0000_ H PWM2L PWM2 占空比比较寄存器低字节 0000_ H 未实现 196H 未实现 197H T1PRLH T1PRLH ----_ H EPR0 PWM0H 占空比比较寄存器高两位 199H EPR1 PWM1H 占空比比较 寄存器高两位 19AH EPR2 PWM2H 占空比比较 寄存器高两位 19BH 未实现 19CH 未实现 EPR0L EPR1L EPR2L ----_ _ _ DH ADS0 CHN_SEL REF_SEL VREF_SEL -000_ EH 未实现 19FH 未实现 13 Product Specification (V1.2)

14 STATUS 状态寄存器 状态 (STATUS) 寄存器包含 : ALU 的算术运算状态 复位状态 数据存储器 (SRAM) 的存储区选择位 和其他寄存器一样, 状态寄存器也可以作为任何指令的目标寄存器 如果一条影响 Z HC 或 C 位的指令 以状态寄存器作为目标寄存器, 将禁止写这三位 根据器件逻辑, 这些位会被置 1 或清零 此外, 也不能写 TF 和 PF位 因此, 当执行一条把状态寄存器作为目标寄存器的指令后, 状态寄存器的结果可能和预想的不一样 例如, 执行 CLRR STATUS 指令会清零该寄存器的高 3 位并将 Z 位置 1 从而使状态寄存器的值为 000uu1uu ( 其中 u 表示不变 ) 因此, 建议仅使用 BCR BSR SWAPR 和 STWR 指令来改变状态寄存器, 因为这些指令不影响任何状态位 欲知其他不会影响任何状态位的指令, 请参见第 10.0 节 指令集汇总 寄存器 03H/83H/183H: 状态寄存器 (STATUS) R/W-0 R/W-0 R/W-0 R-1 R-1 R/W-x R/W-x R/W-x IRP RP1 RP0 TF PF Z HC C bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 IRP: 间接寻址最高位 : 1 = 间接寻址第 2 3 页 0 = 间接寻址第 0 1 页 bit6 bit5 RP1RP0: 寄存器存储区选择位 ( 用于直接寻址 ) 00 = 直接寻址第 0 页 01 = 直接寻址第 1 页 10 = 直接寻址第 2 页 11 = 直接寻址第 3 页 bit4 TF : 超时状态位 1 = 上电后, 执行了 CLRWT 指令或 SLEEP 指令 0 = 发生 WDT 超时溢出 bit3 bit2 bit1 PF: 掉电标志位 1 = 上电复位后或执行了 CLRWT 指令 0 = 执行了 SLEEP 指令 Z: 零标志位 1 = 算术运算或逻辑运算的结果为零 0 = 算术运算或逻辑运算的结果不为零 HC: 半进位 / 借位位 (ADDWR ADDWI SUBWR 和 SUBWI 指令 ) 对于借位, 极性是相反的 1 = 结果的第 4 低位向高位发生了进位 0 = 结果的第 4 低位未向高位发生进位 14 Product Specification (V1.2)

15 bit0 注 C: 进位 / 借位位 (1) (ADDWR ADDWI SUBWR 和 SUBWI 指令 ) 1 = 结果的最高位发生了进位 ( 减法时, 没有发生借位时为 1) 0 = 结果的最高位未发生进位 1: 借位的极性是相反的 减法是通过加上第二个操作数的二进制补码 (Two scomplement) 来实现的 对于移位指令 (RRR 和 RLR), 此位的值来自源寄存器的最高位或最低位 OPT_REG 选项寄存器 选项 (OPT_REG) 寄存器是可读写的寄存器, 包含可对以下各项进行配置的各种配置位 : Timer0/WDT 预分频器分配位 外部中断 Timer0 注 : 要为 Timer0 指定 1:1 的预分频比, 应将 OPT_REG 寄存器的 PSC 位置 1, 以将预分频器分配给 WDT 请参见第 节 软件可编程预分频器 寄存器 81H: 选项寄存器 (OPT_REG) R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 INT1EG INT0EG TCS TCE PSC PS2 PS1 PS0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 bit6 bit5 bit4 bit3 INT1EG:INT1 中断边沿选择位 1 = 由 PC3/INT1 引脚的上升沿触发中断 0 = 由 PC3/INT1 引脚的下降沿触发中断 INT0EG:INT0 中断边沿选择位 1 = 由 PC2/INT0 引脚的上升沿触发中断 0 = 由 PC2/INT0 引脚的下降沿触发中断 TCS:TMR0 时钟源选择位 1 = PA2/T0CKI 引脚上信号的跳变 0 = 内部指令周期时钟 (FOSC/4) TCE:TMR0 时钟源边沿选择位 1 = 在 PA2/T0CKI 引脚电平发生由高到低的跳变时递增 0 = 在 PA2/T0CKI 引脚电平发生由低到高的跳变时递增 PSC: 预分频器分配位 1 = 将预分频器分配给 WDT 0 = 将预分频器分配给 Timer0 模块 15 Product Specification (V1.2)

16 bit2-0 PS<2:0>: 预分频比选择位 TMR0 分频位值比 1: : : : : : : :256 WDT 分频比 1:1 1:2 1:4 1:8 1:16 1:32 1:64 1: PSTA 寄存器 电源控制 (PSTA) 寄存器包含区分以下复位的标志位 : 上电复位 (POR) 欠压复位 (BOR) 看门狗定时器复位 (WDT) 外部 MCLR复位 PSTA 寄存器也用于控制超低功耗唤醒和 BOR的软件使能 寄存器 8EH: 电源控制寄存器 1(PSTA) U-0 U-0 R/W-0 R/W-1 R/W-1 R/W-0 R/W-0 R/W-x ULPWUE SBOREN MCR IER POR BOR bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-6 未实现 : 读为 0 bit5 bit4 bit3 bit2 ULPWUE: 超低功耗唤醒使能位 1 = 使能超低功耗唤醒 0 = 禁止超低功耗唤醒 (1) SBOREN: 软件欠压检测使能位 1 = 使能欠压检测 0 = 禁止欠压检测 MCR : 外部复位状态位 1 = 上电复位值 0 = 发生了外部复位 IER: 指令错误复位状态位 1 = 发生了指令错误复位 0 = 上电复位值 16 Product Specification (V1.2)

17 bit1 bit0 ` 注 POR: 上电复位状态位 1 = 未发生上电复位 0 = 发生了上电复位 ( 必须在上电复位发生后用软件置 1) BOR: 欠压复位状态位 1 = 未发生欠压复位 0 = 已发生欠压复位 ( 必须在发生欠压复位后用软件置 1) 1: 当配置字寄存器中的 LVREN<1:0> = 01 时允许使用该位对 BOR 进行控制 2.3 PCL 和 PCLATH 程序计数器 (PC) 为 11 位宽 其低 8 位来自可读写的 PCL 寄存器, 高 3 位 (PC<10:8>) 来自 PCLATH, 不能直接读写 只要发生复位,PC 就将被清零 图 2-4 显示了装载 PC 值的两种情形 图 2-4 上方的例子说明在写 PCL(PCLATH<2:0> PCH) 时是如何装载 PC 的 图 2-4: 在不同情况下装载 PC PC PCH PCL PCLATH<2:0> 8 3 以 PCL 为目标的指令 ALU 结果 PC PCLATH PCH PCL LJUMP, CALL OPCODE<10:0> 修改 PCL 执行任何以 PCL 寄存器为目标寄存器的指令将同时使程序计数器的 PC<10:8> 位 (PCH) 被 PCLATH 寄存器的内容所取代 这样可通过将所需的高 3 位写入 PCLATH 寄存器来改变程序计数器的所有内容 当低 8 位写入 PCL 寄存器时, 程序计数器的所有 11 位将变为 PCLATH 寄存器中所包含的值以及写入 PCL 寄存器中的值 计算 LJUMP 指令是通过向程序计数器加入偏移量 (ADDWR PCL) 来实现的 通过修改 PCL 寄存器跳转到查找表或程序分支表 ( 计算 LJUMP) 时应特别谨慎 假定 PCLATH 设置为表的起始地址, 如果表长度大于 255 条指令, 或如果存储器地址的低 8 位在表的中间从 0xFF 计满返回到 0x00, 那么在每次表起始地址与表内的目标地址之间发生计满返回时,PCLATH 必须均必须递增 17 Product Specification (V1.2)

18 2.3.2 堆栈 MDT10F271 器件具有 8 级深 x11 位宽的硬件堆栈 ( 见图 2-2 和图 2-4) 堆栈空间既不占用程序存储区空间, 也不占用数据存储区空间, 而且堆栈指针是不可读写的 当执行 CALL 指令或当中断导致程序跳转时,PC 值将被压入 (PUSH) 堆栈 而在执行 RET RTIW 或 RTFI 指令时, 堆栈中的断点地址将从堆栈中弹出 (POP) 到 PC 中 PCLATH 不受 PUSH 或 POP 操作的影响 堆栈的工作原理犹如循环缓冲区 这意味着当堆栈压栈 8 次后, 第 9 次压栈的数值将会覆盖第一次压栈时所保存的数值, 而第十次压栈数值将覆盖第二次压栈时保存的数值, 以后依次类推 注 : 1: 不存在指明堆栈是否上溢或下溢的状态标志位 2: 不存在被称为 PUSH 或 POP 的指令 / 助记符 堆栈的压入或弹出是源于执行了 CALL RET RTIW 和 RTFI 指令, 或源于指向中断向量地址 寄存器 02H/82H/182H: 程序计数器低字节控制寄存器 (PCL) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 bit7 Bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 PC<7:0>: 程序计数器低 8 位 寄存器 0AH/8AH/18AH: 程序计数器高字节控制寄存器 (PCLATH) U-0 U-0 U-0 U-0 U-0 R/W-0 R/W-0 R/W-0 PC10 PC9 PC8 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-5 未实现 : 读为 0 bit4-0 PC<10:8>: 程序计数器高 3 位 2.4 间接寻址 IAR 和 MSR 寄存器 IAR 寄存器不是实际存在的寄存器, 使用 IAR 寄存器寻址将产生间接寻址 使用 IAR 寄存器可进行间接寻址 任何使用 IAR 寄存器的指令, 实际上是对文件选择寄存器 (MSR) 所指向的数据进行存取 间接对 IAR 进行读操作将返回 00h 间接对 IAR 寄存器进行写操作将导致空操作 ( 尽管可能会影响状态标志位 ) 通过将 8 位的 MSR 寄存器与 STATUS 寄存器的 IRP 位进行组合可得到一个有效的 9 位地址, 如图 2-5 所示 例 2-5 给出了一个使用间接寻址将 RAM 地址单元 20h-2Fh 清零的简单程序 18 Product Specification (V1.2)

19 例 2-5: 间接寻址 ; 功能 : 地址 20 开始的连续 16 个字节的 RAM 清 0 LDWI 0X20 ; STWR MSR ; 地址指针赋初值 NEXT: CLRR IAR ; 清除 MSR 值对应的寄存器值 INCR MSR,R ; 递增地址指针 BTSS MSR,4 ; 完成没有? LJUMP NEXT ; 没完成, 继续 CONTINUE: ; 完成了 图 2-5:MDT10F271 的直接 / 间接寻址 RP1 (1) RP0 直接寻址 6 来自操作码 0 1RP (1) 间接寻址 7 文件选择寄存器 0 存储区选择单元选择存储区选择单元选择 h 180h 数据存储器 7Fh Bank0 Bank1 Bank2 Bank3 1FFh 欲知详细的存储器映射信息, 请参见图 看门狗定时器 (WDT) WDT 具有以下特性 : 工作于 LFINTOSC(31kHz) 包含 16 位预分频器 与 Timer0 共用 8 位预分频器 超时周期从 1ms 至 268 秒 配置位和使用软件控制 WDT 在如表 所述的情况下清零 WDT 振荡器 WDT 的时基来源于 31kHz LFINTOSC OSCCON 寄存器的 LTS 位不反映 LFINTOSC 是否被使能 在所有复位时 WDTCON 的值为 这样标称时基为 17ms 注 : 当执行振荡器起振定时器 (OST) 时,WDT 保持复位状态, 因为 OST 使用 WDT 纹波计数器来执行振荡器延时计数 OST 计数到期后,WDT 将开始计数 ( 如果使能 ) 19 Product Specification (V1.2)

20 2.5.2 WDT 控制 WDTE 位在配置字寄存器中 该位置 1 时,WDT 连续运行 配置字寄存器中的 WDTE 位置 1 时,WDTCON 寄存器中的 SWDTEN 位不起作用 如果 WDTE 清零, 那么 SWDTEN 位可用于使能和禁止 WDT 该位置 1 使能 WDT, 该位清零禁止 WDT TMR 寄存器的 PSC 和 PS<2:0> 位功能与 MDT10F271 系列单片机的早期版本相同 更多信息请参见第 7.1 节 Timer0 模块 图 2-6: 看门狗定时器框图 来自 Timer0 时钟源 16 位 WDT 预分频器 0 1 (1) 预分频器 8 31KHz LFINTOSC 时钟 WDTPS<3:0> PSA 0 1 PS<2:0> 至 Timer0 PSA 来自配置字寄存器的 WDTE 来自 WDTCON 的 SWDTEN WDT 超时 注 1: 这是 Timer0 与 WDT 共用的预分频器 更多信息, 请参见第 7.0 节 Timer0 模块 寄存器 18H: 看门狗定时器控制寄存器 (WDTCON) U-0 U-0 U-0 R/W-0 R/W-1 R/W-0 R/W-0 R/W-0 WDTPS3 WDTPS2 WDTPS1 WDTPS0 SWDTEN bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-5 未实现 : 读为 0 Bit4-1 WDTPS<3:0>: 看门狗定时器周期选择位位值 = 预分频 0000 = 1: = 1: = 1: = 1: = 1:512 ( 复位值 ) 0101 = 1: = 1: = 1: Product Specification (V1.2)

21 1000 = 1: = 1: = 1: = 1: = 保留 1101 = 保留 1110 = 保留 1111 = 保留 Bit0 SWDTEN: 软件使能或禁止看门狗定时器位 (1) 1 = WDT 开启 0 = WDT 关闭 ( 复位值 ) 注 1: 如果配置字寄存器 (CONFIG) 的 WDTE 配置位 =1, 则 WDT 始终被使能, 而与该控制位的状态无关 如果配置字寄存器 (CONFIG) 的 WDTE 配置位 =0, 则可以使用该控制位开启 / 关闭 WDT 表 2-6-1:WDT 状态 条件 CLRWT 命令振荡器失效检测退出休眠 + 系统时钟 = T1OSC EXTRC NTRC 或 EXTCLK 退出休眠 + 系统时钟 = XT HS 或 LP WDT 清零 清零直到 OST 结束 表 2-6-2: 与看门狗定时器相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 WDTCON WDTPS3 WDTPS2 WDTPS1 WDTPS0 SWDTEN OPT_REG TCS TCE PSC PS2 PS1 PS CONFIG0 CPB MCLRE PWRTE 图注 : 看门狗定时器不使用阴影单元 注 1: 关于配置字寄存器中所有位的操作, 请参见寄存器 800H 复位值 WDTE FOSC2 FOSC1 FOSC0 21 Product Specification (V1.2)

22 3.0 复位 3.1 概述 MDT10F271 有以下几种不同类型的复位 : a) 上电复位 (POR) b) 正常工作期间的 WDT 复位 c) 休眠期间的 WDT 复位 d) 休眠期间的 MCLR复位 e) 欠压复位 (BOR) 有些寄存器不受任何复位的影响 ; 在上电复位时它们的状态未知, 而在其他复位时状态不变 大多数寄存器在以下复位时会复位到各自的 复位状态 : 上电复位 MCLR 复位 休眠期间的 MCLR复位 WDT 复位 WDT 唤醒不会导致寄存器像 WDT 复位那样复位, 这是因为唤醒被视为恢复正常工作 TO 和 PD 位在不同的复位情形下会分别被置 1 或清零, 如表 3-1 所示 软件可使用这些位判断复位的性质 图 3-1 给出了片上复位电路的简化框图 MCLR复位路径上有一个噪声滤波器, 用来检测并滤除小脉冲 关于脉冲宽度规范, 请参见第 11.0 节 电气特性 图 3-1: 片上复位电路的简化框图 外部复位 MCLR VPP 引脚 WDT 模块 SLEEP WDT 超时复位 VDD VDD 上升检测 上电复位 欠压 (1) 复位 BOREN SBOREN S OST/PWRT OST OSC1/ CLK1 引脚 10 位纹波 ( 异步 ) 计数器 R 片选 PWRT LFINTOSC 11 位纹波计数器 使能 PWRT 使能 OST 表 3-1:STATUS/PSTA 位及其含义 POR BOR TF PF 0 x 1 1 上电复位 u 欠压复位 u u 0 u WDT 复位 条件 22 Product Specification (V1.2)

23 u u 0 0 WDT 唤醒 u u u u 正常工作期间的 M C L R复位 U u 1 0 休眠期间的 M C L R复位 图注 : u = 不变, x = 未知 3.2 上电复位 在 VDD 达到足以使器件正常工作的电平之前, 片上上电复位电路将使器件保持在复位状态 要有效利用 POR, 只要将 MCLR引脚通过一个电阻连接到 VDD 即可 需要一个最大上升时间才能达到 VDD 详见第 11.0 节 电气特性 如果使能了欠压复位, 那么该最大上升时间规范将不再适用 欠压复位电路将使器件保持在复位状态, 直到 VDD 达到 VBOR( 见第 3.5 节 欠压复位 (BOR)) 注 : 当 VDD 降低时, 上电复位电路不会产生内部复位 要重新使能上电复位,VDD 必须至少保持 100us Vss 电压 的 当器件开始正常工作 ( 退出复位状态 ) 时, 器件的工作参数 ( 即电压 频率和温度等 ) 必须得到满足, 以确保其正常工作 如果不满足这些条件, 那么器件必须保持在复位状态, 直到满足工作条件为止 3.3 MCLR MDT10F271 在 MCLR复位路径中有一个噪声滤波器 该滤波器检测并滤除小脉冲 应注意,WDT 复位不会将 MCLR 引脚驱动为低电平 若施加在 MCLR 引脚上的电压超出规范值, 则在 ESD 事件发生期间可导致 MCLR 复位且器件中流过超过 规范值的过电流 因此, 建议不要把 MCLR引脚直接连接到 VDD 建议使用图 3-3 给出的 RC 网络 通过清零配置字寄存器中的 MCLR 位, 可使能内部 MCLR选项 当 MCLRE = 0 时, 在内部产生芯片的复 位信号 当 MCLRE = 1 时,PA3/MCLR引脚变成外部复位输入 在这种模式下,PA3/MCLR 引脚具有到 VDD 的弱上拉功能 图 3-3: 建议的 MCLR电路 MCU MCLRB SW1 ( 可选 ) C1 0.1uF( 可选 ) 23 Product Specification (V1.2)

24 3.4 上电延时定时器 (PWRT) 上电延时定时器仅在上电时 ( 上电复位或欠压复位 ) 提供一个 55ms( 标称值 ) 的固定延时 上电延时定时器采用 LFINTOSC 振荡器作为时钟源, 工作频率为 31kHz 更多信息, 请参见第 4.5 节 内部时钟模式 只要 PWRT 处于活动状态, 芯片就保持在复位状态 配 PWRT 延时使 VDD 有足够的时间上升到所需的电平 置位 PWRTE 可以禁止 ( 如果置 1) 或使能 ( 如果清零或被编程 ) 上电延时定时器 虽然不是必需的, 但是在使能欠压复位时也应使能上电延时定时器 由于以下原因不同芯片的上电延时定时器的延时也各不相同 : VDD 差异 温度差异 制造工艺差异 详见直流参数 ( 第 11.0 节 电气特性 ) 注 : 在 MCLR引脚的低于 VSS 的电压尖峰, 包括大于 80mA 的电流, 可导致闭锁 因此, 在 MCLR 引脚上施加 低 电平时, 应使用阻值在 Ω 的串联电阻, 而不是将该引脚直接拉到 VSS 3.5 欠压复位 配置字寄存器中的 BOREN0 和 BOREN1 位用于选择 4 种欠压复位模式中的一种 其中添加了两种允许使用软件或硬件对 BOR 的使能进行控制的模式 当 BOREN<1:0> = 01 时, 可由 PSTA 寄存器的 SBOREN 位使能 / 禁止 BOR, 从而能用软件对其进行控制 通过选择 BOREN<1:0>, 可使欠压复位在休眠时被自动禁止, 从而节约功耗 ; 而在唤醒后被重新使能 在此模式下,SBOREN 位被禁止 关于配置字的定义, 请参见寄存器 PSTA 如果 VDD 下降到 VBOR 以下, 且持续时间超过参数值 (TBOR)( 见第 11.0 节 电气特性, 欠压状况将使 ) 器件复位 不管 VDD 的变化速率如何, 上述情况都会发生 如果 VDD 低于 VBOR 的时间少于参数值 (TBOR), 则不一定会发生复位 任何复位 ( 上电复位 欠压复位或看门狗定时器复位等 ) 都会使芯片保持复位状态, 直到 VDD 上升到 VBOR 以上 ( 见图 3-5) 如果使能了上电延时定时器, 此时它将启动, 并且会使器件保持复位状态的时间延长 64ms 注 : 配置字寄存器中的 PWRTE 位用于使能上电延时定时器 如果在上电延时定时器运行过程中,VDD 降低到 VBOR 以下, 芯片将重新回到欠压复位状态并且上电延时定时器会恢复为初始状态 一旦 VDD 上升到 VBOR 以上, 上电延时定时器将执行一段 64ms 的复位 图 3-5: 欠压情形 24 Product Specification (V1.2)

25 VDD 内部复位 64 ms (1) VBOR VDD 内部复位 VDD 内部复位 < 64 ms 64 ms (1) 64 ms (1) VBOR VBOR 注 1: 仅在 PWRTE 位被设置为 0 时, 才增加 64 ms 延时 表 3-5: 与欠压有关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 PSTA ULPWUE SBOREN MCR IER POR BOR x x STATUS IRP PR1 PAGE TF 图注 : u = 不变, x = 未知, = 未实现位, 读为 0, q = 取值视具体情况而定 BOR 不使用阴影单元 注 1: 其他 ( 非上电 ) 复位包括正常工作时的 MCLR复位和看门狗定时器复位 PF 复位值 Z HC C xxx xxx 3.6 延时时序 上电时的延时时序如下 : 在 POR 延时结束后, 施加一段 PWRT 延时 PWRT 超时后激活 OST 总延时时间取决于振荡器配置和 PWRTE 位的状态 例如, 在 EC 模式且 PWRTE 位被擦除 (PWRT 禁止 ) 的情况下, 根本不会出现延时 图 和 分别给出了各种情形下的延时时序 当振荡器起振后, 通过使能双速启动或故障保护监控器, 器件将以 INTOSC 作为时钟源来执行代码 ( 见第 节 双速启动顺序 和第 4.9 节 故障保护时钟监控器 ) 由于延时是由上电复位脉冲触发的, 因此如果 MCLR 保持足够长时间的低电平, 所有延时都将结束 将 MCLR 电平拉高后, 器件将立即开始执行代码 ( 见图 3-6-2) 这对于测试或同步多个并行工作的 MDT10F271 器件来说是非常有用的 表 给出了一些特殊寄存器的复位条件, 而表 3-2 给出了所有寄存器的复位条件 图 3-6-1: 上电时的超时时序 (MCLR延时): 情形 1 VDD MCLR 内部 POR TPWRT PWRT 超时 TOST OST 超时 内部复位 25 Product Specification (V1.2)

26 图 3-6-2: 上电时的超时时序 (MCLR延时): 情形 2 VDD MCLR 内部 POR TPWRT PWRT 超时 TOST OST 超时 内部复位 图 3-6-3: 上电时的超时时序 (MCLR及 VDD) VDD MCLR 内部 POR TPWRT PWRT 超时 TOST OST 超时 内部复位 表 3-6-1: 特殊寄存器的初始状态 条件程序计数器状态寄存器 PSTA 寄存器 上电复位 0000h xxx x 正常工作期间的 MCLR复位 0000h 000u uuuu --0u --uu 休眠期间的 MCLR复位 0000h uuu --0u --uu WDT 复位 0000h 0000 uuuu --0u --uu WDT 唤醒 PC + 1 uuu0 0uuu --uu --uu 欠压复位 0000h uuu u0 通过中断从休眠唤醒 PC + 1 (1) uuu1 0uuu --uu --uu 图注 : u = 不变, x = 未知, = 未实现位, 读为 0 注 1: 当器件被中断唤醒且全局中断允许位 GIE 位置 1 时, 执行 PC+1 后,PC 装入中断向是 (0004h) 表 3-6-2: 各种情形下的超时 振荡器配置 上电 欠压复位 PWRTE = 0 PWRTE = 1 PWRTE = 0 PWRTE = 1 从休眠状态唤醒 XT,HS,LP T PWRT * T OSC 1024 * T OSC T PWRT * T OSC 1024 * T OSC 1024 * T OSC RC,EC,INTOSC T PWRT T PWRT 26 Product Specification (V1.2)

27 3.7 电源控制 (PSTA) 寄存器 电源控制寄存器 PSTA( 寄存器 8EH) 有两个状态位, 用于指示上次发生的复位的类型 bit0 是 BOR( 欠压复位 ) 标志位 BOR 在上电复位时未知 然后, 用户必须将该位置 1, 并在随后的复位发时检查 BOR 是否为 0, 如果是, 则表示已发生欠压复位 当禁止欠压复位电路 ( 配置字寄存器中的 BOREN<1:0> = 00) 时,BOR 状态位是 无关位 并且不一定预测得到 bit1 是 POR( 上电复位 ) 标志位, 在上电复位时值为 0, 其他情况下不受影响 上电复位后, 用户必须对该位写 1 发生后续复位后, 如果 POR 为 0, 则表示发生了上电复位 ( 即 VDD 可能已经变为了低电平 ) 更多信息, 请参见第 节 超低功耗唤醒 和第 3.5 节 欠压复位 (BOR) 4.0 系统时钟 4.1 概述 振荡器有多种时钟源和选择功能, 从而使其应用非常广泛, 并可最大限度地提高性能和降低功耗 图 4-1 给出了振荡器模块的框图 时钟源可以配置为由外部振荡器 石英晶体谐振器 陶瓷谐振器以及阻容 (RC) 电路提供 此外, 系统时钟源可以配置为由两个内部振荡器中的一个提供, 并可以通过软件选择速度 其他时钟功能包括 : 通过软件选择外部或内部系统时钟源 双速启动模式, 使外部振荡器从启动到代码执行间的延时达到最小 故障保护时钟监视器 (FSCM) 旨在检测外部时钟源的故障 (LP XT HS EC 或 RC 模式 ) 并自动切换到内部振荡器 振荡器模块可配置为以下 8 种时钟模式之一 1. EC 外部时钟,I/O 在 PA4 上, 时钟从 PA5 输入 2. LP32kHz 低功耗晶振模式 3. XT 中等增益晶振或陶瓷谐振振荡器模式 4. HS 高增益晶振或陶瓷谐振器模式 5. RC 外部阻容 (RC), FOSC/4 输出到 OSC2/CLKOUT 6. RC+IO 外部阻容,I/O 在 PA4 上 7. IRC 内部振荡器,PA4 输出 1/4 系统时钟频率,PA5 为 I/O PIN 8. IRC+IO 内部振荡器,PA4 PA5 作为 I/O PIN 通过配置字寄存器 (CONFIG) 的 FOSC<2:0> 位来配置时钟源模式 内部时钟可用两个内部振荡器产生 HFINTOSC 是经过校准的高频振荡器 LFINTOSC 是未经校准的低频振荡器 图 4-1:MCU 时钟源框图 27 Product Specification (V1.2)

28 CFG_CLK_MODE[2:0] CCFG0 配置字寄存器 LP XT HS RC RCIO EC IRCF[2:0] OSCCON 寄存器 SCS[0] OSCCON 寄存器 FOSC/16MHz SOSC/31KHz 分频器 16MHz 8MHz 4MHz 2MHz 1MHz 500KHz 250KHz 31KHz MUX_8 0 1 MUX_2 系统时钟 上电延时定时器 (PWRT) 看门狗定时器 (WDT) 故障保护时钟监控器 (FSCM) 4.2 振荡器控制 振荡器控制 (OSCCON) 寄存器 ( 图 4-1) 控制系统时钟和频率选择等选项 OSCCON 寄存器包含以下位 : 频率选择位 (IRCF) 频率状态位 (HTS 和 LTS) 系统时钟控制位 (OSTS 和 SCS) 寄存器 8FH: 振荡器控制寄存器 (OSCCON) U-0 R/W-1 R/W-0 R/W-1 R-1 R-0 R-0 R/W-0 IRCF2 IRCF1 IRCF0 OSTS (1) HTS LTS SCS bit7 bit0 28 Product Specification (V1.2)

29 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 未实现 : 读为 0 bit6-4 bit3 bit2 bit1 bit0 IRCF<2:0>: 内部振荡器频率选择位 000 = 31kHz 001 = 250kHz 010 = 500kHz 011 = 1MHz 100 = 2MHz 101 = 4MHz ( 缺省值 ) 110 = 8MHz 111 = 16MHz (1) OSTS: 振荡器起振超时状态位 1 = 器件运行在 FOSC<2:0> 定义的外部时钟之下 0 = 器件运行在内部振荡器之下 (HFINTOSC 或 LFINTOSC) HTS:HFINTOSC( 高频 16MHz 到 250kHz) 状态位 1 = HFINTOSC 稳定 0 = HFINTOSC 不稳定 LTS:LFINTOSC( 低频 31kHz) 状态位 1 = LFINTOSC 稳定 0 = LFINTOSC 不稳定 SCS: 系统时钟选择位 1 = 内部振荡器用于系统时钟 0 = 时钟源由 FOSC<2:0> 决定 注 (1): 故障保护模式使能时, 该位将复位为 时钟源模式 时钟源模式可分为外部和内部模式 外部时钟模式依靠外部电路提供时钟源 例子有 : 振荡器模块 (EC 模式 ) 石英晶体谐振器或陶瓷谐振器 (LP XT 和 HS 模式 ) 以及阻容 (RC) 模式电路 内部时钟源内置于振荡器模块中 振荡器模块有两个内部振荡器, 一个是 16MHz 高频内部振荡器 (HFINTOSC), 另一个是 31kHz 低频内部振荡器 (LFINTOSC) 可通过 OSCCON 寄存器的系统时钟选择 (SCS) 位, 在外部或内部时钟源之间选择系统时钟 ( 欲了解更多信息, 请参见第 4.6 节 时钟切换 ) 29 Product Specification (V1.2)

30 4.4 外部时钟模式 振荡器起振定时器 (OST) 如果振荡器模块配置为 LP XT 或 HS 模式, 振荡器起振定时器 (OST) 对来自 OSC1 的振荡计数 1024 次 这发生在上电复位 (POR) 之后以及上电延时定时器 (PWRT) 延时结束 ( 如果配置了 ) 时, 或从休眠中唤醒后 在此期间, 程序计数器不递增, 程序执行暂停 OST 确保使用石英晶体谐振器或陶瓷谐振器的振荡器电路已经启动并向振荡器模块提供稳定的系统时钟信号 当在时钟源之间切换时, 需要一定的延时以使新时钟稳定 表 给出了振荡器延时的例子 为了使外部振荡器起振和代码执行之间的延时最小, 可选择双速时钟启动模式 ( 见第 4.7 节 双速时钟启动模式 ) 表 4-4-1: 振荡器延时示例 休眠 /POR 切换自切换到频率振荡器延时 LFINTOSC HFINTOSC 31kHz 250kHz 到 16MHz 休眠 /POR EC,RC DC20MHz 双周期 LFINTOSC(31kHz) EC,RC DC20MHz 每次一周期 振荡器预热延时 (T WARM) 休眠 /POR LP,XT,HS 32kHz 到 20MHz 1024 个时钟周期 (OST) LFINTOSC(31kHz) HFINTOSC 250kHz 到 16MHz 1us( 近似值 ) EC 模式 外部时钟 (EC) 模式允许外部产生的逻辑电平作为系统时钟源 工作在此模式下时, 外部时钟源连接到 OSC1 输入,OSC2 引脚可用作通用 I/O 图 给出了 EC 模式的引脚连接 当选取 EC 模式时, 振荡器起振定时器 (OST) 被禁止 因此, 上电复位 (POR) 后或者从休眠中唤醒后的操作不存在延时 因为 MCU 的设计是完全静态的, 停止外部时钟输入将使器件暂停工作并保持所有数据完整 当再次启动外部时钟时, 器件恢复工作, 就好像没有停止过一样 图 4-4-2: 外部时钟 (EC) 模式的工作原理 来自外部系统的时钟 OSC1/CLKIN MCU I/O OSC2/CLKOUT (1) 注 1: 此引脚的其他功能列在第 1.0 节 器件概述 中 LP XT 和 HS 模式 LP XT 和 HS 模式支持连接到 OSC1 和 OSC2 的石英晶体谐振器或陶瓷谐振器的使用 ( 图 ) 模式选择内部反相放大器的低 中或高增益设定, 以支持各种谐振器类型及速度 LP 振荡器模式选择内部反相放大器的最低增益设定 LP 模式的电流消耗在三种模式中最小 该模式设计仅用于驱动 kHz 音叉 (Tuning Fork) 式晶振 ( 钟表晶振 ) 30 Product Specification (V1.2)

31 XT 振荡器模式选择内部反相放大器的中等增益设定 XT 模式的电流消耗在三种模式中居中 该模式最适用于驱动具备中等驱动电平规格要求的谐振器 HS 振荡器模式选择内部反相放大器的最高增益设定 HS 模式的电流消耗在三种模式中最大 该模式最适用于驱动需要高驱动设定的谐振器 图 和图 分别给出了石英晶体谐振器和陶瓷谐振器的典型电路 注 1: 石英晶振的特性随类型 封装和制造商而变化 要了解规格说明和推荐应用, 应查阅制造商提供的数据手册 2: 应始终验证振荡器在应用预期的 VDD 和温度范围内的性能 图 : 石英晶体的工作原理 (LP XT 或 HS 模式 ) MDT MCU C1 石英晶体 OSC1/CLKIN RF (2) 休眠 至内部逻辑 C2 RS (1) OSC2/CLKOUT 注 1: 低驱动电平的石英晶振可能需要串联一个电阻 (RS) 2: RF 的值根据所选的振荡模式变化 ( 典型值在 2 MΩ 到 10 MΩ 之间 ) 图 : 陶瓷谐振器的工作原理 (XT 或 HS 模式 ) MDT MCU C1 OSC1/CLKIN 至内部逻辑 RP (3) RF (2) Sleep C2 陶瓷谐振器 RS (1) OSC2/CLKOUT 注 1: 低驱动电平的石英晶振可能需要串联一个电阻 (RS) 2: RF 的值根据所选的振荡模式变化 ( 典型值在 2 MΩ 到 10 MΩ 之间 ) 3: 要使陶瓷谐振器正常工作, 可能需要并联一个反馈电阻 (RP)( 典型值 1 MΩ ) 外部 RC 模式 外部阻容 (RC) 模式支持使用外部 RC 电路 对时钟精度要求不高时, 这使设计人员有了很大的频率选择空间, 且保持成本最低 有 RC 和 RCIO 两种模式 在 RC 模式下, 电路连接到 OSC1 RCOSC2/CLKOUT 输出 RC 振荡频率的 4 分频 该信号可用来为外部电路 同步 校准 测试或其他应用需求提供时钟 图 给出了外部 RC 模式的连接图 31 Product Specification (V1.2)

32 图 4-4-4: 外部 RC 模式 VDD MDT MCU REXT CEXT OSC1/CLKIN 内部时钟 VSS FOSC/4 或 I/O (2) OSC2/CLKOUT (1) 建议值 : 10 kω REXT 100 kω, < 3V 3 kω REXT 100 kω, 3-5 V CEXT > 20 PF, 2-5V 注 1: 该引脚的其他功能列在第 1.0 节 器件概述 中 2: 输出取决于 RC 或 RCIO 时钟模式 在 RCIO 模式下,RC 电路连接到 OSC1 OSC2 成为额外的通用 I/O 引脚 I/O 引脚成为 PORTA 的 bit4 (PA4) 图 给出了 RCIO 模式的连接图 RC 振荡器频率是供电电压 电阻 (R EXT ) 和电容 (C EXT ) 值以及工作温度的函数 影响振荡器频率的其他因素有 : 电压门限值变化 元件容差 不同封装的电容 用户还应考虑因所使用的外部 RC 元件的容差而导致的差异 4.5 内部时钟模式 振荡器模块有两个独立的内部振荡器, 可配置或选取为系统时钟源 1. HFINTOSC( 高频内部振荡器 ) 出厂时已校准, 工作频率为 16MHz, 精度范围为 ±1% 2. LFINTOSC( 低频内部振荡器 ) 未经校准, 工作频率为 31kHz 通过软件对 OSCCON 寄存器的内部振荡器频率选择位 IRCF<2:0> 进行操作, 可选择系统时钟速度 可通过 OSCCON 寄存器的系统时钟选择 (SCS) 位, 在外部或内部时钟源之间选择系统时钟 ( 见第 4.6 节 时钟切换 ) INTOSC 和 INTOSCIO 模式 当在配置字寄存器 (CONFIG) 中使用振荡器选择位 FOSC<2:0> 设置器件时, 在 INTOSC 和 INTOSCIO 模式下将内部振荡器配置为系统时钟源 在 INTOSC 模式下,OSC1/CLKIN 可用作通用 I/O OSC2/CLKOUT 输出所选内部振荡器频率的 4 分频 CLKOUT 信号可用来为外部电路 同步 校准 测试或其他应用需求提供时钟 在 INTOSCIO 模式下,OSC1/CLKIN 和 OSC2/CLKOUT 引脚可用作通用 I/O 32 Product Specification (V1.2)

33 4.5.2 HFINTOSC 高频内部振荡器 (HFINTOSC) 是出厂时已校准的 16MHz 内部时钟源 HFINTOSC 的输出连接到后分频器和多路复用器 ( 见图 4-1) 使用 OSCCON 寄存器的 IRCF<2:0> 位, 可通过软件选择七个频率之一 更多信息, 请参见第 节 频率选择位 (IRCF) 将 OSCCON 寄存器的 ICRF<2:0> 位设置为 000 选择 16MHz 到 250kHz 之间的任一频率, 可使能 HFINTOSC 然后将 OSCCON 寄存器的系统时钟源 (SCS) 位置 1, 或通过将配置寄存器 (CONFIG) 中的 IESO 置 1 使能双速启动 OSCCON 寄存器的 HF 内部振荡器 (HTS) 位用于显示 HFINTOSC 是否稳定 LFINTOSC 低频内部振荡器 (LFINTOSC) 是未经校准的 31kHz 内部时钟源 LFINTOSC 的输出连接到后分频器和多路复用器 ( 见图 4-1) 通过软件对 OSCCON 寄存器的 IRCF<2:0> 位进行操作, 选取 31kHz 更多信息, 请参见第 节 频率选择位 (IRCF) LFINTOSC 还是上电延时定时器 (PWRT) 看门狗定时器 (WDT) 以及故障保护时钟监控器 (FSCM) 的时钟源 选取 31kHz( 将 OSCCON 寄存器的 IRCF<2:0> 位设置为 000) 为系统时钟源 (OSCCON 寄存器的位 SCS = 1), 或者使能以下任一项时,LFINTOSC 将被使能 : 双速启动 ( 配置字寄存器的位 IESO = 1 且 OSCCON 寄存器的位 IRCF = 000) 上电延时定时器 (PWRT) 看门狗定时器 (WDT) 故障保护时钟监控器 (FSCM) OSCCON 寄存器的 LF 内部振荡器 (LTS) 位用于指示 LFINTOSC 是否稳定 频率选择位 (IRCF) 16MHz HFINTOSC 和 31kHz LFINTOSC 的输出连接到后分频器和多路复用器 ( 见图 4-1) OSCCON 寄存器的内部振荡器频率选择位 IRCF<2:0> 用于选择内部振荡器的频率输出 可通过软件选择以下 8 个频率之一 : 16MHz 8MHz 4MHz ( 复位后的缺省值 ) 2MHz 1MHz 500kHz 250kHz 31kHz 注 : 任何复位后,OSCCON 寄存器的 IRCF<2:0> 位将被置为 101 且频率选择置为 4MHz 用户可修改 IRCF 位来选择其他频率 HFINTOSC 和 LFINTOSC 时钟切换时序 当在 LFINTOSC 和 HFINTOSC 之间切换时, 新的振荡器可能为了省电已经关闭 ( 见图 4-5-5) 在这种情况下,OSCCON 寄存器的 IRCF 位被修改之后 频率选择生效之前, 存在一个延时 OSCCON 寄存器的 LTS 和 HTS 位将反映 LFINTOSC 和 HFINTOSC 振荡器的当前活动状态 频率选择时序如下 : 33 Product Specification (V1.2)

34 1. OSCCON 寄存器的 IRCF<2:0> 位被修改 2. 如果新时钟是关闭的, 开始一个时钟启动延时 3. 时钟切换电路等待当前时钟下降沿的到来 4. CLKOUT 保持为低, 时钟切换电路等待新时钟上升沿的到来 5. 现在 CLKOUT 连接到新时钟 OSCCON 寄存器的 HTS 和 LTS 位按要求被更新 6. 时钟切换完成 更多信息请参见图 4-1 如果选取的内部振荡器速度在 16MHz 到 250kHz 之间, 选取新频率不存在启动延时 这是因为新旧频率都来自经过后分频器和多路复用器的 HFINTOSC 启动延时规范在第 11.0 节 电气特性 中与振荡器相关的表格中 图 4-5-5: 内部振荡器切换时序 HFINTOSC LFINTOSC( 禁止 FSCM 和 WDT) HFINTOSC LFINTOSC 起振时间 2 周期同步运行 IRCF<2:0> 0 =0 系统时钟 HFINTOSC HFINTOSC LFINTOSC IRCF<2:0> LFINTOSC( 禁止 FSCM 和 WDT) 0 =0 2 周期同步运行 系统时钟 LFINTOSC LFINTOSC HFINTOSC IRCF<2:0> HFINTOSC 除非使能 WDT 或 FSCM, 否则 LFINTOSC 将关闭 起振时间 2 周期同步 运行 =0 0 系统时钟 4.6 时钟切换 通过软件对 OSCCON 寄存器的系统时钟选择 (SCS) 位进行操作, 可将系统时钟源在外部和内部时钟源之间切换 34 Product Specification (V1.2)

35 4.6.1 系统时钟选择 (SCS) 位 OSCCON 寄存器的系统时钟选择 (SCS) 位选择用于 CPU 和外设的系统时钟源 OSCCON 寄存器的位 SCS = 0 时, 系统时钟源由配置字寄存器 (CONFIG) 中 FOSC<2:0> 位的配置决定 OSCCON 寄存器的位 SCS = 1 时, 根据 OSCCON 寄存器的 IRCF<2:0> 位所选的内部振荡器频率选取系统时钟源 复位后,OSCCON 寄存器的 SCS 总是被清零 注 : 任何自动时钟切换 ( 可能产生自双速启动或故障保护时钟监控器 ) 都不更新 OSCCON 寄存器的 SCS 位 用户可监控 OSCCON 寄存器的 OSTS 位以确定当前的系统时钟源 振荡器起振超时状态 (OSTS) 位 OSCCON 寄存器的振荡器起振超时状态 (OSTS) 位用于指示系统时钟是来自外部时钟源, 还是来自内部时钟源 外部时钟源由配置字寄存器 (CONFIG) 的 FOSC<2:0> 定义 OSTS 还特别指明在 LP XT 或 HS 模式下, 振荡器起振定时器 (OST) 是否已超时 4.7 双速时钟启动模式 双速启动模式通过最大限度地缩短外部振荡器起振与代码执行之间的延时, 进一步节省了功耗 对于频繁使用休眠模式的应用, 双速启动模式将在器件唤醒后除去外部振荡器的起振时间, 从而可降低器件的总体功耗 该模式使得应用能够从休眠中唤醒,INTOSC 用作时将钟源执行数条指令, 然后再返回休眠状态而无需等待主振荡器的稳定 注 : 执行 SLEEP 指令将中止振荡器起振时间, 并使 OSCCON 寄存器的 OSTS 位保持清零 当振荡器模块配置为 LP XT 或 HS 模式时, 振荡器起振定时器 (OST) 使能 ( 见第 节 振荡器起振定时器 (OST) )OST 将暂停程序执行, 直到完成 1024 次振荡计数 双速启动模式在 OST 计数时使用内部振荡器进行工作, 使代码执行的延时最大限度地缩短 当 OST 计数到 1024 且 OSCCON 寄存器的 OSTS 位置 1 时, 程序执行切换至外部振荡器 双速启动模式配置 通过以下设定来配置双速启动模式 : 配置字寄存器 (CONFIG) 中的位 IESO = 1; 内部 / 外部切换位 ( 使能双速启动模式 ) OSCCON 寄存器的位 SCS = 0 配置字寄存器 (CONFIG) 中的 FOSC<2:0> 配置为 LP XT 或 HS 模式 在下列操作之后, 进入双速启动模式 : 上电复位 (POR) 且上电延时定时器 (PWRT) 延时结束 ( 使能时 ) 后, 或者 从休眠状态唤醒 如果外部时钟振荡器配置为除 LP XT 或 HS 模式以外的任一模式, 那么双速启动将被禁止 这是因为 POR 后或从休眠中退出时, 外部时钟振荡器不需要稳定时间 35 Product Specification (V1.2)

36 4.7.2 双速启动顺序 1. 从上电复位或休眠中唤醒 2. 使用内部振荡器以 OSCCON 寄存器的 IRCF<2:0> 位设置的频率开始执行指令 3. OST 使能, 计数 1024 个时钟周期 4. OST 超时, 等待内部振荡器下降沿的到来 5. OSTS 置 1 6. 系统时钟保持为低, 直到新时钟下一个下降沿的到来 (LP XT 或 HS 模式 ) 7. 系统时钟切换到外部时钟源 检查双速时钟状态 通过检查 OSCCON 寄存器的 OSTS 位的状态, 可以确定单片机是否如配置字寄存器 (CONFIG) 中 FOSC<2:0> 位定义的那样运行于外部时钟源, 抑或是运行于内部振荡器 图 4-7-3: 双速启动 HFINTOSC TOST OSC OSC2 程序计数器 PC - N PC PC+1 系统时钟 4.8 掉电模式 ( 休眠 ) 通过执行 SLEEP 指令可进入掉电模式 如果使能看门狗定时器 : WDT 将被清零并保持运行 状态寄存器中的 PD 位被清零 TO 位被置 1 关闭振荡器驱动器 I/O 端口保持执行 SLEEP 指令之前的状态 ( 驱动为高电平 低电平或高阻状态 ) 为使这种模式下的电流消耗降至最低, 所有 I/O 引脚都应保持为 VDD 或 VSS, 以确保没有外部电路从 I/O 引脚消耗电流 为了避免输入引脚悬空而引入开关电流, 应在外部将高阻输入的 I/O 引脚拉为高电平或低电平 为使电流消耗降至最低,T0CKI 输入也应保持为 VDD 或 VSS 还应考虑 PORTA 片上上拉的影响 36 Product Specification (V1.2)

37 MCLR引脚必须为逻辑高电平 注 : 请注意, 由于 WDT 超时产生的复位不会将 MCLR引脚驱动为低电平 从休眠状态唤醒 由于在休眠期间没有片上时钟处于工作状态, 下列外设中断可以将器件从休眠状态唤醒 : 1. TMR1 中断 Timer1 必须用作异步计数器 2. PORTA 电平变化中断 3. 来自 INT0 与 INT1 引脚的外部中断 4. 看门狗定时器唤醒 ( 如果 WDT 使能 ) MCLR引脚上的有效信号会导致器件复位 其他事件被认为是程序执行的继续 状态寄存器中的 TO 和 PD 位用于确定器而在执行 SLEEP 件复位的原因 位在上电时被置 1,PD 指令时被清零 TO 位在发生 WDT 唤醒时被清零 当执行 SLEEP 指令时, 下一条指令 (PC+1) 将预先取出 如果希望通过中断事件唤醒器件, 则必须将相应的中断允许位置 1( 使能 ) 发生唤醒与 GIE 位的状态无关 如果 GIE 位被清零 ( 禁止 ), 器件将继续执行 SLEEP 指令之后的指令 如果 GIE 位被置 1( 使能 ), 器件执行 SLEEP 指令之后的指令, 然后跳转到中断地址 (0004h) 处执行代码 如果不希望执行 SLEEP 指令之后的指令, 用户应该在 SLEEP 指令后面放置一条 NOP 指令 注 : 如果禁止了全局中断 (GIE 被清零 ), 但有任一中断源将其中断允许位以及相应的中断标志位置 1, 器件将立即从休眠状态唤醒 器件从休眠状态唤醒时,WDT 都将被清零, 而与唤醒原因无关 使用中断唤醒 当禁止全局中断 (GIE 被清零 ) 时, 并且有任一中断源将其中断允许位和中断标志位置 1, 将会发生下列事件之一 : 如果在执行 SLEEP 之前产生了中断, 那么 SLEEP 指令将被作为一条 NOP 指令执行 因此,WDT 及其预分频器和后分频器 ( 如果使能 ) 将不会被清零, 并且 TO 位将不会被置 1, 同时 PD 位也不会被清零 如果在执行 SLEEP 指令期间或之后产生了中断, 那么器件将被立即从休眠状态唤醒 SLEEP 指令将在唤醒之前执行完毕 因此,WDT 及其预分频器和后分频器 ( 如果使能 ) 将被清零, 并且 TO 位将被置 1, 同时 PD 位也将被清零 即使在执行 SLEEP 指令之前, 检查到标志位为 0, 它也可能在 SLEEP 指令执行完毕之前被置 1 要确定是否执行了 SLEEP 指令, 可测试 PD 位 如果 PD 位置 1, 则说明 SLEEP 指令被当作一条 NOP 指令执行了 在执行 SLEEP 指令之前, 必须先执行一条 CLRWT 指令, 来确保将 WDT 清零 详情请参见图 4-8 图 4-8: 通过中断将器件从休眠状态唤醒 37 Product Specification (V1.2)

38 OSC1 CLKOUT (4) TSOT (2) INT 引脚 INTF 标志 (INTCON 寄存器 ) GIE bit (INTCON 寄存器 ) 处理器 (3) 中断响应延时 休眠 指令流 PC PC PC+1 PC+2 PC+2 PC h 0005h 取指令 { Inst(PC) = Sleep Inst(PC + 1) Inst(PC + 2) Inst(0004h) Inst(0005h) 执行指令 { Inst(PC - 1) 休眠 Inst(PC + 1) 空周期 空周期 Inst(0004h) 注 1: 假设为 XT HS 或 LP 振荡器模式 2: TOST = 1024 TOSC ( 图中未按比例绘制 ) 该延时不适用于 EC 和 RC 振荡器模式 3: 假设 GIE = 1 在这种情形下, 处理器被唤醒后, 将跳转到 0004h 处执行代码 如果 GIE = 0, 程序将继续执行 4: 在 XT HS LP 或 EC 振荡器模式下, 不输出 CLKOUT 信号, 在此处仅作为时序参考 4.9 故障保护时钟监控器 故障保护时钟监控器 (FSCM) 使得器件在出现外部振荡器故障时仍能继续工作 FSCM 能在振荡器起振延时定时器 (OST) 到期后的任一时刻检测振荡器故障 FSCM 通过将配置字寄存器 (CONFIG) 中的 FCMEN 位置 1 来使能 FSCM 可用于所有外部振荡模式 (LP XT HS EC RC 和 RCIO) 图 4-9:FSCM 框图 外部时钟 时钟监控器锁存器 (CM) ( 边沿触发 ) S LFINTOSC 振荡器 +64 R 31KHz (~32 µs) 采样时钟 488 Hz (~2 ms) 检测到时钟故障 故障保护检测 FSCM 模块通过将外部振荡器与 FSCM 采样时钟比较来检测振荡器故障 LFINTOSC 除以 64, 就产生了采样时钟 请参见图 4-9 故障检测器内部有一个锁存器 在外部时钟的每个下降沿, 锁存器被置 1 在采样时钟的每个上升沿, 锁存器被清零 如果采样时钟的整个半周期流逝而主时钟依然未进入低电平, 就检测到故障 故障保护操作 当外部时钟出现故障时,FSCM 将器件时钟切换到内部时钟源, 并将 PIFB 寄存器的 OSFIF 标志位置 1 如果在 PIFB 寄存器的 OSFIE 位置 1 的同时将该标志位置 1, 将产生中断 器件固件随后会采取措施减轻可能 38 Product Specification (V1.2)

39 由故障时钟所产生的问题 系统时钟将继续来自内部时钟源, 直到器件固件成功重启外部振荡器并切换回外部操作 FSCM 所选的内部时钟源由 OSCCON 寄存器的 IRCF<2:0> 位决定 这使内部振荡器可以在故障发生前就得以配置 故障保护条件清除 复位 执行 SLEEP 指令或翻转 OSCCON 寄存器的 SCS 位后, 故障保护条件被清除 OSCCON 寄存器的 SCS 位被修改后,OST 将重新启动 OST 运行时, 器件继续从 OSCCON 中选定的 INTOSC 进行操作 OST 超时后, 故障保护条件被清除, 器件将从外部时钟源进行操作 必须先清除故障保护条件, 才能清零 OSFIF 标志位 复位或从休眠中唤醒 FSCM 设计为能在振荡器起振延时定时器 (OST) 到期后的任一时刻检测振荡器故障 OST 的使用场合为从休眠状态唤醒后以及任何类型的复位后 OST 不能在 EC 或 RC 时钟模式下使用, 所以一旦复位或唤醒完成, FSCM 就处于激活状态 FSCM 被使能时, 当双速启动也被使能 因此, 当 OST 运行时, 器件总是处于代码执行阶段 注 : 由于振荡器起振时间的范围变化较大, 在振荡器起振期间 ( 即, 从复位或休眠中退出时 ), 故障保护电路不处于激活状态 经过一段适当的时间后, 用户应检查 OSCCON 寄存器的 OSTS 位, 以验证振荡器是否已成功起振以及系统时钟是否切换成功 5.0 中断 MDT10F271 有以下多种中断源 : 外部中断 INT0(PC2) 与 INT1(PC3) Timer0 溢出中断 PORTA 电平变化中断 A/D 中断 Timer1 溢出中断 故障保护时钟监控器中断 中断控制寄存器 (INTS) 和外设中断请求寄存器 (PIFB) 在各自的标志位中记录各种中断请求 INTS 寄存器还包括各个中断允许位和全局中断允许位 INTS 寄存器中的全局中断允许位 GIE 在置 1 时允许所有未屏蔽的中断, 而在清零时禁止所有中断 可以通过 INTS 和 PIFB 寄存器中相应的允许位来禁止各个中断 复位时 GIE 被清零 响应中断时, 自动发生以下动作 : GIE 被清零以禁止任何其他中断 返回地址被压入堆栈 在 PC 中装入 0004h 执行 从中断返回 指令 RTFI 退出中断程序并将 GIE 位置 1, 从而重新使能未屏蔽的中断 INTS 寄存器包含以下中断标志位 : 39 Product Specification (V1.2)

40 INT0 引脚中断 PORTA 电平变化中断 Timer0 溢出中断 外设中断标志位在 PIFB 寄存器中 相应的中断允许位在 PIFB 寄存器中 PIFB 寄存器包含以下中断标志位 : A/D 中断 Timer1 溢出中断 INT1 引脚中断 故障保护时钟监视器中断 对于外部中断事件, 如 INT0 INT1 引脚或 PORTA 电平变化中断, 中断响应延时将为 3 到 4 个指令周期 确切的延时时间取决于发生中断事件的时间 ( 见图 5-1-1) 对于单周期或双周期指令, 中断响应延时完全相同 进入中断服务程序之后, 就可以通过查询中断标志位来确定中断源 在重新允许中断前, 必须用软件将中断标志位清零, 以避免重复响应该中断 注 : 1: 各中断标志位的置 1 不受相应的中断屏蔽位或 GIE 位状态的影响 2: 当执行一条清零 GIE 位的指令后, 任何等待在下一周期执行的中断都将被忽略 当 GIE 位被再次置 1 后, 被忽略的中断仍会继续等待处理 关于 Timer1 A/D 模块的更多信息, 请参见相应的外设章节 图 5-1-1: 中断逻辑 PA0 IOCA0 PA1 IOCA1 PA2 IOCA2 PA3 IOCA3 PA4 IOCA4 PA5 IOCA5 OSFIF OSFIE TMR1IF TMR1IE INT1IF INT1IE ADCIF ADCIE PAIF PAIE TMR0IF TMR0IE INT0IF INT0IE PEIF PEIE GIE 唤醒 ( 如果处于睡眠模式 ) 产生中断 40 Product Specification (V1.2)

41 图 5-1-2:INT 引脚中断时序 OSC CLKOUT (3) INT 引脚 (4) (1) INTF 标志 (INTCON 寄存器 ) (1) (5) (2) 中断响应延时 GIE 位 (INTCON 寄存器 ) 指令流 PC 取指令 { PC PC + 1 PC h Inst(PC) Inst(PC + 1) Inst(0004h) Inst(0005h) 执行指令 { Inst(PC - 1) Inst(PC) 空周期 空周期 Inst(0004h) 注 1: 在此采样 INTF 标志 ( 每个 1 周期 ) 2: 异步中断响应延时为 3-4 个 TCY 同步中断响应延时为 3 个 TCY, 其中 TCY 为一个指令周期, 无论 Inst ( PC ) 是单周期还是双周期指令, 中断响应延时都是相同的 3: 只有在 INTOSC 和 RC 振荡器模式下 CLKOUT 才有效 4: 关于 INT 脉冲的最小宽度, 请参见第 15.0 节 电气特性 中的交流规范 5: 允许在 4-1 周期内的任何时间将 INTF 置 中断特殊功能寄存器 INTS 寄存器 INTS 寄存器是可读写的寄存器, 包含 TMR0 寄存器溢出 PORTA 电平变化和外部 PC2/INT0 引脚中断的各种允许和标志位 注 : 当有中断条件产生时, 不管相应的中断允许位或 INTS 寄存器的全局允许位 GIE 状态如何, 中断标志位都将置 1 用户软件应该在允许中断之前确保将相应的中断标志位清零 寄存器 0BH/8BH/10BH/18BH: 中断控制寄存器 (INTS) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 GIE PEIE TIS INT0E PAIE TIF INT0F PAIF bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 bit6 GIE: 全局中断允许位 1 = 允许所有未屏蔽的中断 0 = 禁止所有中断 PEIE: 外设中断允许位 1 = 允许所有未屏蔽的外设中断 0 = 禁止所有外设中断 41 Product Specification (V1.2)

42 bit5 bit4 bit3 bit2 bit1 bit0 注 TIS:Timer0 溢出中断允许位 1 = 允许 Timer0 中断 0 = 禁止 Timer0 中断 INT0E:PC2/INT0 外部中断允许位 1 = 允许 PC2/INT0 外部中断 0 = 禁止 PC2/INT0 外部中断 (1) PAIE:PORTA 电平变化中断允许位 1 = 允许 PORTA 电平变化中断 0 = 禁止 PORTA 电平变化中断 (2) TIF:Timer0 溢出中断标志位 1 = Timer0 寄存器已经溢出 ( 必须用软件清零 ) 0 = Timer0 寄存器没有溢出 INT0F:PC2/INT0 外部中断标志位 1 = 发生了 PC2/INT0 外部中断 ( 必须用软件清零 ) 0 = 未发生 PC2/INT0 外部中断 (1) PAIF:PORTA 电平变化中断标志位 1 = 至少一个 PORTA<5:0> 引脚的电平状态发生了改变 ( 必须用软件清零 ) 0 = 没有一个 PORTA<5:0> 引脚的电平状态发生改变 1: 必须同时使能 PAINTR 寄存器 2: 当 TMR0 计满回零时,TIF 位置 1 复位时 TMR0 的状态不变, 它应该在清零 TIF 位之前被初始化 PIFB 寄存器 PIFB 寄存器包含外设中断标志位 注 : 当有中断条件产生时, 不管相应的中断允许位或 INTS 寄存器的全局允许位 GIE 状态如何, 中断标志位都将置 1 用户软件应该在允许中断之前确保将相应的中断标志位清零 寄存器 0CH: 外设中断请求寄存器 1(PIFB) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 INT1E ADCIE OSFIE TMR1IE INT1F ADCIF OSFIF TMR1IF bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 bit6 INT1E:PC3/INT1 外部中断允许位 1 = 允许 PC3/INT1 外部中断 0 = 禁止 PC3/INT1 外部中断 ADCIE:ADC 转换器中断允许位 1 = 允许 A/D 转换器中断 0 = 禁止 A/D 转换器中断 42 Product Specification (V1.2)

43 bit5 bit4 bit3 bit2 bit1 bit0 OSFIE: 振荡器故障中断允许位 1 = 允许振荡器故障中断 0 = 禁止振荡器故障中断 TMR1IE:Timer1 溢出中断允许位 1 = 允许 Timer1 溢出中断 0 = 禁止 Timer1 溢出中断 INT1F:PC3/INT1 外部中断标志位 1 = 发生了 PC3/INT1 外部中断 ( 必须用软件清零 ) 0 = 未发生 PC3/INT1 外部中断 ADCIF:ADC 中断标志位 1 = A/D 转换完成 0 = A/D 转换尚未完成或尚未启动 OSFIF: 振荡器故障中断标志位 1 = 系统振荡器发生故障, 时钟输入切换为 INTOSC( 必须用软件清零 ) 0 = 系统时钟正常运行 TMR1IF:Timer1 溢出中断标志位 1 = Timer1 寄存器已经溢出 ( 必须用软件清零 ) 0 = Timer1 寄存器未溢出 表 5-1: 与中断相关的寄存器 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 INTS GIE PEIE TIS INS PAIE TIF INTF PAIF PAINTR PAINTR5 PAINTR4 PAINTR3 PAINTR2 PAINTR1 PAINTR PIFB INT1IE ADCIE OSFIE TMR1IE INT1IF ADCIF OSFIF TMRIF 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0), q = 取值视情况而定 中断模块不使用阴影单元 5.2 外部中断 INT0(PC2) INT1(PC3) 引脚上的外部中断是边沿触发的 ; 当 OPT_REG 寄存器的 INT1EG 与 INT0EG 位被置 1 时在上升沿触发, 而当 INT1EG 与 INT0EG 位被清零时在下降沿触发 当 INT0(PC2) INT1(PC3) 引脚上出现有效边沿时,INTS 寄存器的 INT0F 位 PIFB 寄存器的 INT1F 位置 1 可以通过将 INTS 寄存器的 INT0E PIFB 寄存器的 INT1E 控制位清零来禁止该中断 在重新允许该中断前, 必须在中断服务程序中先用软件将 INT0F INT1F 位清零 如果 INT0E 与 INT1E 位在进入休眠状态前被置 1, 则 INT0(PC2) INT1(PC3) 引脚上中断能将处理器从休眠状态唤醒 关于 INT0(PC2) INT1(PC3) 引脚上中断将处理器从休眠状态唤醒的时序, 请参见图 4-8 注 : 必须对 ADINS 和 CPIOC 寄存器进行初始化, 以将模拟通道配置为数字输入 配置为模拟输入的引脚总是读为 Timer0 中断 TMR0 寄存器溢出 (FFh 00h) 会将 INTS 寄存器的 T0IF 位置 1 可以通过置 1/ 清零 INTS 寄存器的 T0IE 位来使能 / 禁止该中断 关于 Timer0 模块的操作, 请参见第 7.1 节 Timer0 模块 43 Product Specification (V1.2)

44 5.4 PORTA 电平变化中断 PORTA 输入电平的变化会使的 PAIF 位置 1 可以通过置 1/ 清零的 PAIE 位来使能 / 禁止该中断 此外, 可通过 PAINTR 寄存器对该端口的各个引脚进行配置 注 : 当读操作正在执行时发生了 I/O 引脚电平变化, 则 PAIF 中断标志位可能不会被置 A/D 中断 ADC 模块在模数转换完成时,PIFB 寄存器中的 ADCIF 中断标志位均置 1 可以通过置 1/ 清零 PIFB 寄存器中的 ADCIE 位来使能 / 禁止该中断 5.6 中断的现场保护 在中断期间, 仅将返回的 PC 值压入堆栈 通常情况下, 用户可能希望在中断期间保存关键寄存器 ( 例如, W 寄存器和状态寄存器 ) 这必须用软件实现 应将临时保存寄存器 W_TEMP 和 STATUS_TEMP 置于 GPR 的末 16 字节中 ( 见图 2-2) 这 16 个单元是所有存储区共用的, 无需分区 这样就简化了现场保护和恢复操作 例 12-1 中所示的代码可用于 : 保存 W 寄存器 保存状态寄存器 执行 ISR 代码 恢复状态寄存器 ( 和存储区选择位寄存器 ) 恢复 W 寄存器 注 : MDT10F271 通常不需要保存 PCLATH 但是, 如果要在 ISR 和主程序中使用计算 LJUMP, 就必须在 ISR 中保存和恢复 PCLATH 例 5-7: 将状态寄存器和 W 寄存器保存在 RAM 中 STWR W_TEMP ; 保存 W 寄存器到 W_TEMP SWAPR STATUS,W ; 将 STATUS 寄存器高低四位交换后保存到 W( 目的 : 不改变标志 ) STWR STATUS_TEMP ; 保存 STATUS 寄存器内容到 STATUS_TEMP ; ;(ISR) ; 中断服务程序 ; SWAPR STATUS_TEMP,W ; 交换 STATUS_TEMP 高低四个字节 STWR STATUS ; 恢复标志状态寄存器 SWAPR W_TEMP,R ; 恢复 W 寄存器值 ( 用交换指令的目的 : 不改变状态寄存器值 ) SWAPR W_TEMP,W 6.0 I/O 端口 MDT10F271 共有 12 个通用 I/O 引脚 根据使能的外设不同, 有些 ( 或全部 ) 引脚不能用作通用 I/O 通常使能了一个外设后, 相关的引脚就不能用作通用 I/O 引脚了 44 Product Specification (V1.2)

45 6.1 PORTA 和 CPIOA 寄存器 PORTA 是 6 位宽的双向端口 PORTA 对应的数据方向寄存器是 CPIOA( 寄存器 85H) 将 CPIOA 位置 1( = 1) 可以使对应的 PORTA 引脚作为输入引脚 ( 即禁止相应的输出驱动器 ) 将 CPIOA 位清零 ( = 0) 将使对应的 PORTA 引脚作为输出引脚 ( 即使能输出驱动器并将输出锁存器的内容置于所选的引脚上 ) PA3 是个例外, 它只能作为输入引脚,TRIS 位始终读为 1 例 6-1 给出了初始化 PORTA 的方法 读 PORTA 寄存器 ( 寄存器 05H) 将读取引脚的状态而写该寄存器将会写入端口锁存器 所有写操作都是读 - 修改 - 写操作 因此, 写一个端口就意味着读该端口的引脚电平, 修改读到的值, 然后再将改好的值写入端口数据锁存器 当 MCLRE = 1 时,PA3 读为 0 即使在 PORTA 引脚被用作模拟输入的时候,CPIOA 寄存器仍然控制 PORTA 引脚的方向 在将它们用作模拟输入时, 用户必须确保 CPIOA 寄存器中的位保持为置 1 状态 配置为模拟输入的 I/O 引脚始终读为 0 注 : 必须对 ADINS 寄存器进行初始化以将模拟通道配置为数字输入通道 配置为模拟输入的引脚读为 0 例 6-1: 初始化 PORTA BCR STATUS,PAGE ;Bank0 CLRR PORTA ; 清除端口 A 输出缓冲器 BSR STATUS,PAGE ;Bank1 CLRR ANSEL ; 所有模拟 / 数字复用端口设置为数字端口 LDWI 0CH ; 设置端口 A 的 <3,2> 位为输入, 其他输出 STWR TRISA ; BCR STATUS,PAGE ;Bank0 寄存器 05H:PORTA 寄存器 (PORTA) U-0 U-0 R/W-x R/W-x R-x R/W-x R/W-x R/W-x PA5 PA4 PA3 PA2 PA1 PA0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-6 未实现 : 读为 0 bit5-0 PA<5:0>:PORTA I/O 引脚位 1 = PORTA 引脚电平 >V IH 0 = PORTA 引脚电平 <V IL 寄存器 85H:PORTA 三态寄存器 (CPIOA) U-0 U-0 R/W-1 R/W-1 R-1 R/W-1 R/W-1 R/W-1 CPIOA5 CPIOA4 CPIOA2 CPIOA1 CPIOA0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 45 Product Specification (V1.2)

46 bit7-6 未实现 : 读为 0 bit5-0 CPIOA<5:0>:PORTA 三态控制位 1 = PORTA 引脚配置为输入 ( 三态 ) 0 = PORTA 引脚配置为输出 注 1: 在 XT HS 和 LP 振荡模式下,CPIOA<5:4> 始终读为 PORTC 和 CPIOC 寄存器 PORTC 是由 6 个双向引脚组成的通用 I/O 引脚可以配置为 I/O 或作为 A/D 转换器 (ADC) 或 PWM 输出 要了解各个功能的特定信息 ( 如 PWM 或 ADC), 请参见本数据手册的相应章节 注 : 必须对 ADINS 寄存器进行初始化以将模拟通道配置为数字输入通道 配置为模拟输入的引脚读为 0 例 6-4: 初始化 PORTC BCR STATUS,PAGE ;Bank 0 CLRR PORTC ; 清输出缓冲区 BSR STATUS,PAGE ;Bank 1 CLRR ANSEL ; 设置端口为数字端口 LDWI 0Ch ; 设置 PC1,PC2 为输入, 其他 PC 口输出 STWR TRISC BCR STATUS,PAGE ;Bank 0 寄存器 07H:PORTC 寄存器 (PORTC) U-0 U-0 R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x - - PC5 PC4 PC3 PC2 PC1 PC0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 PC<5:0>:PORTCI/O 引脚位 1 = PORTC 引脚电平 >VIH 0 = PORTC 引脚电平 <VIL 寄存器 87H:PORTC 三态寄存器 (CPIOC) U-0 U-0 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W CPIOC5 CPIOC4 CPIOC3 CPIOC2 CPIOC1 CPIOC0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 46 Product Specification (V1.2)

47 bit7-0 CPIOC<5:0>:PORTC 三态控制位 1 = PORTC 引脚配置为输入 ( 三态 ) 0 = PORTC 引脚配置为输出 6.3 其它引脚功能 MDT10F271 的 PORTA 端口上的每一个引脚都具有电平变化中断和弱上拉功能 (PA3 做为复位脚时, 使能上拉 作为 IO 口时, 没有上拉电阻 ) PA0 具有超低功耗唤醒功能 下面三个小节将介绍这些功能 ADINS 寄存器 ADINS 寄存器用于将 I/O 引脚的输入模式配置为模拟 将相应的 ADINS 位置为高电平将使对该引脚的所有读操作结果为 0, 并使该引脚的模拟功能正常进行 ADINS 位的状态对数字输出功能没有影响 TRIS 清零且 ADINS 置 1 的引脚仍将作为数字输出工作, 但其输出模式将为模拟 在对受影响端口执行读 修改 写指令时, 这将导致意外的操作 寄存器 91H: 模拟选择寄存器 (ADINS) U-0 U-0 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W ANS5 ANS4 ANS3 ANS2 ANS1 ANS0 - - PC1 PC0 PA4 PA2 PA1 PA0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 ANS<5:0>: 模拟选择位在 ANS<5:0> 引脚上分别进行模拟或数字功能的模拟选择 1 = 模拟输入 引脚被分配为模拟输入 0 = 数字 I/O 引脚被分配给端口或特殊功能 弱上拉 每一个 PORTA PORTC 引脚 ( 除 PA3) 具有各自的可配置内部弱上拉 控制位 PAPHRX PCPHRx 使能或禁止每一个弱上拉 请参见寄存器 95H 和寄存器 107H PORTC 端口上有上拉总使能控制位, 每个端口引 脚还有独立的上拉控制使能位 当将端口引脚配置为输出时, 其弱上拉电路会自动切断 在 PA3 配置为 MCLR 时, 自动启动 PA3 的弱上拉功能 MCLR上拉不受软件控制 寄存器 95H: 弱上拉 PORTA 寄存器 (PAPHR) R-0 R-0 R/W-1 R/W-1 R-0 R/W-1 R/W-1 R/W-1 PAPHR5 PAPHR4 PAPHR2 PAPHR1 PAPHR0 bit7 bit0 47 Product Specification (V1.2)

48 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-6 未实现 : 读为 0 bit5-4 PAPHR<5:4>: 弱上拉控制位 1 = 使能上拉 0 = 禁止上拉 bit3 未实现 : 读为 0 bit2-0 注 PAPHR<2:0>: 弱上拉控制位 1 = 使能上拉 0 = 禁止上拉 1: 如果引脚处于输出模式 (TRIS = 0), 则弱上拉器件被自动禁止 2: 在配置字寄存器中配置为 MCLR时 PA3 上拉被使能, 配置为 I/O 时上拉被禁止 3: 在 XT HS 和 LP 振荡模式下 PAPHR<5:4> 始终读为 1 寄存器 107H:PORTC 总控制寄存器 (PCPHR) U-0 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 - WPUCG PCPHR5 PCPHR4 PCPHR3 PCPHR2 PCPHR1 PCPHR0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit6 bit5-0 WPUCG:PORTC 端口弱上拉总使能 0 = 使能上拉 1 = 禁止上拉 PCPHR<5:0>: PORTC 口弱上拉控制位 1 = 使能上拉 0 = 禁止上拉 电平变化中断 每一个 PORTA 引脚均可分别配置为电平变化中断引脚 控制位 PAINTR_x 使能或禁止每个引脚的中断功能, 在上电复位时禁止电平变化中断 对于已允许电平变化中断的引脚, 则将该引脚上的值同上一次读 PORTA 时锁存的值进行比较 将上一次 不匹配 的输出一起作逻辑或运算, 以便将 INTS 寄存器 ( 寄存器 0BH) 中 PORTA 电平变化中断标志位 (PAIF) 置 1 该中断能唤醒休眠下的器件 用户在中断服务程序中通过以下方式清除中断 : a) 对 PORTA 进行读或写操作 这将结束引脚电平不匹配条件 b) 将标志位 PAIF 清零 电平不匹配条件会继续将 PAIF 标志位置 1 而读 PORTA 将结束不匹配条件并允许将 PAIF 标志位清零 48 Product Specification (V1.2)

49 锁存器将保持最后一次读取的值不受 MCLR和欠压复位的影响 在这些复位之后, 如果出现电平不匹配,PAIF 标志位将继续被置 1 注 : 在执行任何 PORTA 操作时如果 I/O 引脚的电平发生变化, 则 PAIF 中断标志位可能不会被置 1 寄存器 96H: 电平变化中断 PORTA 寄存器 (PAINTR) U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 PAINTR5 PAINTR4 PAINTR3 PAINTR2 PAINTR1 PAINTR0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-6 未实现 : 读为 0 bit5-0 注 PAINTR<5:0>: 电平变化中断 PORT A 控制位 1 = 允许电平变化中断 0 = 禁止电平变化中断 1: 必须允许全局中断允许 (GIE) 以使各中断被识别 2: 在 XT HS 和 LP 振荡模式下 PAINTR<5:4> 始终读为 超低功耗唤醒 PA0 上的超低功耗唤醒允许电压缓慢跌落, 从而可在不消耗额外电流的情况下, 产生 PA0 电平变化中断 通过将 ULPWUE 位 (PSTA<5>) 置 1 选择该模式 这将产生一个很小的灌电流, 可用于将 PA0 上的电容放电 要使用该功能,PA0 引脚应先被配置为输出高电平以对电容充电, 允许 PA0 的电平变化中断并且 PA0 要配置为输入引脚 将 ULPWUE 置 1 开始放电, 执行 SLEEP 指令 当 PA0 上的电压下降到 VIL 后, 器件将被唤醒并执行下一条指令 如果 INTS 寄存器的 GIE 位置 1, 器件将调用中断服务程序 (0004h) 更多信息, 请参见第 节 电平变化中断 和第 5.4 节 PORT A 电平变化中断 该功能提供了低功耗技术, 可周期性地唤醒休眠下的器件 延时取决于 PA0 上 RC 电路的放电时间 要了解如何初始化超低功耗唤醒模块, 请参见例 串联电阻提供了 PA0 引脚的过电流保护功能, 允许在软件中对延时进行校准 ( 见图 6-3-1) 可用定时器测量电容的充放电时间 然后调整充电时间以提供所需的中断延时 该技术可补偿温度 电压和元件精度所带来的影响 超低功耗唤醒外设还可以配置为简单可编程低压检测设备或温度传感器 例 6-3-4: 超低功耗唤醒的初始化 BCR STATUS,PAGE ;Bank 0 BSR PORTA,0 ; 清 0 端口 A 的 PA0 BSF STATUS,PAGE ;Bank 1 BCR ANSEL,0 ; 端口 A 的 PA0 设置为数字口 BCR TRISA,0 ; 端口 A 的 PA0 设置为输出 LCALL CapDelay ; 延时 BSR PSTA,ULPWUE ; 使能超低功耗唤醒功能 BSR IOCA,0 ; 设置 PA0 口电平变化唤醒功能 BSR TRISA,0 ; 设置 PA0 口输入 LDWI B ; 使能电平变化中断 全局中断 STWR INTS ; SLEEP ; 睡眠, 等待唤醒 NOP NOP 49 Product Specification (V1.2)

50 6.4 PORTA 引脚说明和引脚图 每个 PORTA 引脚都与其他功能复用 这里将简要说明引脚及其复合功能 A/D 转换器 (A/DConverter, ADC) 的具体信息, 请参见本数据手册中的相关章节 PA0/AN0/SCK/ULPWU 图 给出了此引脚的引脚图 PA0/AN0/SCK/ULPWU 引脚可配置为下列功能之一 : 通用 I/O 连接至 ADC 的模拟输入 在线串行编程 (In-CircuitSerialProgramming ) 烧录和调试的时钟 SCK 超低功耗唤醒的模拟输入 图 6-4-1:PA0 框图 数据总线 写 WPUA D CK 模拟 (1) 输入模式 RAPU VDD 弱 读 WPUA VDD D 写 PORTA CK I/O 引脚 - + Vt Vss 写 TRISA D CK VSS 读 TRISA 0 1 ULPWUE Iulp 读 PORTA 写 IOCA D 模拟 (1) 输入模式 CK D 读 IOCA EN D 3 电平变化中断 EN 至 A/D 转换器 读 PORTA 注 1: 模拟输入模式由 ANSEL 决定 50 Product Specification (V1.2)

51 6.4.2 PA1/AN1/SDA 图 给出了此引脚的引脚图 PA1/AN1/SDA 引脚可配置为下列功能之一 : 通用 I/O 连接至 ADC 的模拟输入 在线串行编程烧录和调试的数据端口 SDA 图 6-4-2:PA1 框图 数据总线 写 WPUA D CK (1) 模拟输入模式 RBPU VDD 弱 读 WPUA D VDD 写 PORTA CK 写 TRISA D CK VSS I/O 引脚 读 TRISA (1) 模拟输入模式 读 PORTA 写 IOCA D CK D 读 IOCA EN D 3 电平变化中断 EN 至 A/D 转换器 读 PORTA 注 1: 模拟输入模式由 ANSEL 决定 51 Product Specification (V1.2)

52 6.4.3 PA2/AN2/T0CKI 图 给出了此引脚的引脚图 PA2/AN2/T0CKI 引脚可配置为下列功能之一 : 通用 I/O 连接至 ADC 的模拟输入 TIMER0 的时钟输入 图 6-4-3:PA2 框图 数据总线 写 WPUA D CK (1) 模拟输入模式 RBPU VDD 弱 读 WPUA D VDD 写 PORTA CK 写 TRISA D CK VSS I/O 引脚 读 TRISA (1) 模拟输入模式 读 PORTA 写 IOCA D CK D 读 IOCA EN D 3 电平变化中断 EN 读 PORTA 至 Timer0 至 A/D 转换器 注 1: 模拟输入模式由 ANSEL 决定 52 Product Specification (V1.2)

53 6.4.4 PA3/MCLR/VPP 图 给出了此引脚的引脚图 PA3/MCLR/VPP 引脚可配置为下列功能之一 : 通用输入 ; 带弱上拉的主清零复位 ; 高压烧写引脚 图 6-4-4:PA3 框图 VDD MCLRE 弱 数据总线 读 CPIOA 读 PORTA 写 IOCA 读 IOCA 电平变化中断 复位 MCLRE VSS MCLRE D CK D EN D EN 读 PORTA VSS PA4/AN3/T1G/OSC2/CLKOUT 图 给出了此引脚的引脚图 PA4/AN3/T1G/OSC2/CLKOUT 引脚可配置为下列功能之一 : 通用 I/O 连接至 ADC 的模拟输入 Timer1 门控 ( 计数使能 ) 晶振 / 谐振器连接 时钟输出 图 6-4-5:PA4 框图 53 Product Specification (V1.2)

54 数据总线 写 WPUA D CK 模拟 (3) 输入模式 CLK (1) 模式 RAPU VDD 弱 读 WPUA OSC1 振荡器电路 CLKOUT 使能 VDD 写 PORTA D CK FOSC/4 1 0 I/O 引脚 写 CPIOA D CK CLKOUT 使能 INTOSC/ RC/EC (2) VSS 读 CPIOA CLKOUT 使能 模拟输入模式 读 PORTA 写 IOCA D CK D EN 3 读 IOCA D 电平变化中断 EN 读 PORTA 至 T1G 至 A/O 转换器 注 1:CLK 模式为 XT HS LP 和 LPTMR1, 且 CLKOUT 使能 2: 具有 CLKOUT 选项 3: 模拟输入模式来自 ADSEL PA5/T1CKI/OSC1/CLKIN 图 给出了此引脚的引脚图 PA5/T1CKI/OSC1/CLKIN 引脚可配置为下列功能之一 : 通用 I/O TMR1 时钟输入 晶振 / 谐振器连接 时钟输入 54 Product Specification (V1.2)

55 图 6-4-6:PA5 框图 数据总线 写 WPUA D CK INTOSC 模式 TMR1LPEN (1) RAPU VDD 弱 读 WPUA OSC2 振荡器电路 D VDD 写 PORTA CK I/O 引脚 写 CPIOA 读 CPIOA D CK INTOSC 模式 VSS 读 PORTA 写 IOCA D CK D EN 3 读 IOCA D 电平变化中断 EN 至 Timer1 读 PORTA 注 1:Timer1 的 LP 振荡器使能 表 6-4: 与 PORT A 相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 ADINS ANS7 ANS6 ANS5 ANS4 ANS3 ANS2 ANS1 ANS PSTA ULPWUE SBOREN MCLR IER POR BOR qq --0u --uu INTS GIE PE1E TIS INT0E PAIE TIF INT0F PAIF PAINTR PAINTR5 PAINTR4 PAINTR3 PAINTR2 PAINTR1 PAINTR OPT_REG INT1EDG INT0EDG TCS TCE PSC PS2 PS1 PS PORTA PA5 PA4 PA3 PA2 PA1 PA0 --x0 x000 --uu uu00 CPIOA CPIOA5 CPIOA4 CPIOA2 CPIOA1 CPIOA PAPHR PAPHR5 PAPHR4 PAPHR2 1 PAPHR PAPHR 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0) PORT A 不使用阴影单元 55 Product Specification (V1.2)

56 6.5 PORTC 引脚说明和引脚图 PC0/AN4 PC0 引脚可以配置为下列功能之一 : 通用 I/O ADC 的模拟输入 PC1/AN5 PC1 引脚可以配置为下列功能之一 : 通用 I/O ADC 的模拟输入 图 6-5-2:PC0 和 PC1 框图 数据总线 写 WPUC D CK (1) 模拟输入模式 WPUCG VDD 弱 读 WPUC D VDD 写 PORTC CK 写 TRISC D CK VSS I/O 引脚 读 TRISC (1) 模拟输入模式 读 PORTC D EN 至 A/D 转换器 读 PORTC 注 1: 模拟输入模式由 ANSEL 决定 56 Product Specification (V1.2)

57 6.5.3 PC2/INT0 PC2 引脚可以配置为下列功能之一 : 通用 I/O 外部中断 INT0 框图见图 PC3/PWM2/INT1 PC3 引脚可以配置为下列功能之一 : 通用 I/O PWM2 外部中断 INT1 图 6-5-4:PC2 和 PC3 框图 数据总线 写 WPUC D CK WPUCG VDD 弱 读 WPUC D VDD 写 PORTC CK 写 TRISC D CK VSS I/O 引脚 读 TRISC 读 PORTC D EN 至 INT 读 PORTC 57 Product Specification (V1.2)

58 6.5.5 PC4/PWM1 PC4 引脚可以配置为下列功能之一 : 通用 I/O PWM1 框图见图 PC5/PWM0 PC5 引脚可以配置为下列功能之一 : 通用 I/O PWM0 图 6-5-6:PC5 框图 数据总线 写 WPUC D CK WPUCG VDD 弱 读 WPUC D PWM_EN VDD 写 PORTC 写 TRISC CK D CK PWM 0 1 VSS I/O 引脚 读 TRISC 读 PORTC D EN 读 PORTC 表 6-5: 与 PORTC 相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 PORTC PC5 PC4 PC3 PC2 PC1 PC0 xxxx 00XX uuuu uuxx CPIOC CPIOC5 CPIOC4 CPIOC3 CPIOC2 CPIOC1 CPIOC PCPHR WPUCG PCPHR5 PCPHR4 PCPHR3 PCPHR2 PCPHR1 PCPHR 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0) PORT C 不使用阴影单元 58 Product Specification (V1.2)

59 7.0 定时器及 PWM 7.1 Timer0 模块 Timer0 模块是 8 位定时器 / 计数器, 具备以下特性 : 8 位定时器 / 计数器寄存器 (TMR0) 8 位预分频器 ( 与看门狗定时器共用 ) 可编程内部或外部时钟源 可编程外部时钟边沿选择 溢出中断 定时器 / 计数器重载功能 图 7-1:Timer0 模块的框图 T0CKI 0 TMR0 重载寄存器 BUS 指令写 TMR0 RTCC 溢出 FOSC/4 RTCC 加法器 0 1 RTCC 寄存器 溢出中断 T0IF 置 同步 2 个周期 TMR0_CLK WDTE SWDTEN T0SE T0CS 0 1 PSA 8 位预分频器 PS<2:0> PSA 31Khz INTOSC WDT 16 位预分频器 0 1 WDT 超时 WDTPS<3:0> PSA 注 1: T0SE T0CS PSA 和 PS<2:0 > 均为 OPTION 寄存器中的位 2: SWDTEN 和 WDTPS<3:0> 为 WDTCON 寄存器中的位 3: WDTE 位在配置字寄存器中 Timer0 的工作原理 Timer0 是一个 8 位并具有重载功能的定时器 / 计数器, 读 TMR0, 读的是计数器的值, 写 TMR0, 写的是重载寄存器里的值 注意 : 上电时重载寄存值是随机的, 程序需要进行初始化 寄存器 01H:Timer0 模块寄存器 (TMR0) R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x TMR07 TMR06 TMR05 TMR04 TMR03 TMR02 TMR01 TMR00 bit7 bit0 图注 : W = 可写位 U = 未实现位, 读为 0 x = 未知 59 Product Specification (V1.2)

60 R = 可读位 -n = POR 时的值 1 = 置 1 0 = 清零 bit7-0 TMR0 <7:0>:8 位定时器 / 计数器寄存器 位定时器模式 Timer0 的开关使能位 TIMER0_ON 见寄存器 PWMT0EB( 寄存器 1AH), 只有打开使能位,Timer0 才能工作 作为定时器使用时,Timer0 模块将在每个指令周期递增 ( 无预分频器 ) 将 OPT_REG 寄存器 ( 寄存器 81H) 的 TCS 位清零选择定时器模式 当 TMR0 被写入时, 写入后将立即禁止 2 周期递增 注 : 在 TMR0 被写入时, 为了计入两个指令周期的延时, 可以调整写入 TMR0 寄存器的值 位计数器模式 作为计数器使用时,Timer0 模块将在 T0CKI 引脚的每个上升沿递增 递增边沿由 OPT_REG 寄存器 ( 寄存器 81H) 的 TCE 位决定 将 OPT_REG 寄存器的 TCS 位置 1 选择计数器模式 软件可编程预分频器 Timer0 或看门狗定时器 (WDT) 之一可使用一个软件可编程预分频器, 但两者不能同时使用 预分频器的分配由 OPT_REG 寄存器的 PSC 位控制 要将预分频器分配给 Timer0, 必须将 PSC 位清零 Timer0 模块的预分频比有 8 种选项, 从 1:2 至 1:256 预分频比可通过 OPT_REG 寄存器 ( 寄存器 81H) 的 PS<2:0> 位进行选择 要使 Timer0 模块得到 1:1 的预分频比, 必须将预分频器分配给 WDT 模块 预分频器不可读写 当预分频器被分配给 Timer0 模块时, 所有写入 TMR0 寄存器的指令均会将预分频器清零 当预分频器被分配给 WDT 时, 一条 CLRWT 指令将同时清零预分频器和 WDT 在 Timer0 和 WDT 模块间切换预分频器 由于预分频器可分配给 Timer0 或 WDT, 因此在切换预分频比时可能产生不想要的器件复位 当把预分频器从 Timer0 切换到 WDT 模块时, 必须执行例 所示的指令序列 例 : 更改预分频器 (TIMER0 WDT) BCR STATUS,PAGE ;Bank 0 CLRWT ; 清看门狗定时器 CLRR TMR0 ; 清 TMR0 BSR STATUS,PAGE ;Bank 1 LDWI b ; 禁止上拉,TMR0 分频给看门狗, 分频比 1:128 TMODE ; CLRWT ; 清看门狗 BCR STATUS,PAGE ;Bank 0 60 Product Specification (V1.2)

61 当将预分频器从 WDT 切换到 Timer0 模块时, 必须执行以下指令序列 ( 见例 ) 例 : 更改预分频器 (WDT TIMER0) CLRWT ; 清看门狗 BSR STATUS,PAGE ;Bank 1 LDWI b xxxx0xxx ; 将分频器分配给 TMR0 TMODE ; BCR STATUS,PAGE ;Bank Timer0 中断 TMR0 寄存器从 FFh 溢出到 00h 时,Timer0 将产生中断 INTS 寄存器的 TIF 中断标志位将在每次 TMR0 寄存器溢出时被置 1, 无论是否允许了 Timer0 中断 必须用软件将 TIF 位清零 Timer0 中断允许位是 INTS 寄存器的 TIS 位 注 : 由于定时器在休眠时被冻结, 因此 Timer0 中断无法将处理器从休眠中唤醒 Timer0 与外部时钟配合使用 Timer0 处理计数器模式时,T0CKI 输入和 Timer0 寄存器的同步是通过采样内部相位时钟的 2 和 4 周期实现的 因此, 外部时钟源的高低周期必须满足第 13.0 节 电气特性 中所列的时序要求 表 7-1-6: 与 TIMER0 相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 TMR0 Timer0 模块寄存器 xxxx xxxx uuuu uuuu INTS GIE PE1E TIS INT0E PAIE TIF INT0F PAIF OPT_REG INT1_SEL INT0_SEL TCS TCE PSC PS2 PS1 PS PWMT0EB PWM2_EN PWM1_EN PWM0_EN TMR0_EN T1GSS CPIOA CPIOA5 CPIOA4 - CPIOA2 CPIOA1 CPIOA 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0) Timer0 不使用阴影单元 7.2 带门控的 Timer1 模块 Timer1 模块是 16 位定时器 / 计数器, 具备以下特性 : 16 位定时器 / 计数器寄存器 (TMR1H:TMR1L) 可编程内部或外部时钟源 3 位预分频器 可选 LP 振荡器 同步或异步操作 T1G 引脚的 Timer1 门控 ( 计数使能 ) 溢出中断 溢出时唤醒 ( 仅限外部时钟且异步模式时 ) 61 Product Specification (V1.2)

62 图 7-2:Timer1 模块的框图 溢出时将标志位 TMR1IF 置 1 TMR1ON TMR1GE T1GINV 上升沿采样 TMR1H TMR1L EN 0 1 T1SYNC 振荡器 OSC1/T1CKI Fosc 0 1 预分频器 同步检测 OSC2/T1G TMR1CS T1CKPS<1:0> VDD 0 1 T1GSS Timer1 的工作原理 Timer1 模块是 16 位递增计数器, 通过一对寄存器 TMR1H:TMR1L 访问 对 TMR1H 或 TMR1L 的写操作将直接更新计数器 与内部时钟源配合使用时, 该模块为定时器 与外部时钟源配合使用时, 该模块可用作定时器或计数器 如寄存器 10H 所示,Timer1 控制寄存器 T1STA 用于控制 Timer1 并选择 Timer1 模块的各种功能 寄存器 10H:TIMER1 控制寄存器 (T1STA) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 T1GINV TMR1GE T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 bit6 (1) T1GINV:Timer1 门控翻转位 1 = Timer1 门控为高电平有效 ( 门控为高电平时 Timer1 计数 ) 0 = Timer1 门控为低电平有效 ( 门控为低电平时 Timer1 计数 ) TMR1GE:Timer1 门控使能位 (2) 如果 TMR1ON = 0: 此位被忽略如果 TMR1ON = 1: 1 = Timer1 在 Timer1 门控不活动时打开 0 = Timer1 打开 62 Product Specification (V1.2)

63 bit5-4 bit3 bit2 bit1 bit0 注 T1CKPS<1:0>:Timer1 输入时钟预分频比选择位 11 = 1:8 10 = 1:4 01 = 1:2 00 = 1:1 T1OSCEN:LP 振荡器使能控制位如果无 CLKOUT 振荡器的 INTOSC 处于激活状态 : 1 = LP 振荡器被使能用于 Timer1 时钟 0 = LP 振荡器关闭否则 : 此位被忽略 T1SYNC:Timer1 外部时钟输入同步控制位 TMR1CS = 1: 1 = 不同步外部时钟输入 0 = 同步外部时钟输入 TMR1CS = 0: 此位被忽略 Timer1 使用内部时钟 TMR1CS:Timer1 时钟源选择位 1 = 来自 T1CK1 引脚 ( 上升沿 ) 的外部时钟 0 = 内部时钟 (FOSC) TMR1ON:Timer1 打开位 1 = 使能 Timer1 0 = 停止 Timer1 1: 无论门控源为何,T1GINV 位均将翻转 Timer1 门控逻辑 2: 必须将 TMR1GE 位置 1 以使用 T1G 引脚 Timer1 模块是 16 位定时器 / 计数器,TMR1H:TMR1L 寄存器 0EH:16 位 Timer1 低字节的保持寄存器 (TMR1L) R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x TMR1<7> TMR1<6> TMR1<5> TMR1<4> TMR1<3> TMR1<2> TMR1<1> TMR1<0> bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 TMR1 <7:0>:16 位 Timer1 定时器 / 计数器低字节寄存器 寄存器 0FH:16 位 Timer1 高字节的保持寄存器 (TMR1H) R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x R/W-x TMR1<15> TMR1<14> TMR1<13> TMR1<12> TMR1<11> TMR1<10> TMR1<9> TMR1<8> bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 TMR1 <15:8>:16 位 Timer1 定时器 / 计数器高字节寄存器 63 Product Specification (V1.2)

64 7.2.2 时钟源选择 T1STA 寄存器的 TMR1CS 位用于选择时钟源 当 TMR1CS = 0 时, 时钟源为 FOSC TMR1CS = 1 时, 时钟源由外部提供 内部时钟源 选择内部时钟源时,TMR1H:TMR1L 这对寄存器将在 FOSC 的某个倍数递增, 倍数由 Timer1 预分频器决定 外部时钟源 选择外部时钟源时,Timer1 模块可作为定时器, 也可作为计数器工作 计数时,Timer1 在外部时钟输入 T1CKI 的上升沿递增 此外, 计数器模式时钟可同步到单片机系统时钟, 也可异步运行 若需外部时钟振荡器 ( 且单片机使用不带 CLKOUT 的 INTOSC), 则 Timer1 可使用 LP 振荡器作为时钟源 注 : 计数器模式下, 发生以下任何一个或多个情况后, 计数器在首个上升沿递增前, 必须先经过一个下降沿 : POR 复位后使能 Timer1 写入 TMR1H 或 TMR1L Timer1 被禁止 T1CKI 为高电平时 Timer1 被禁止 (TMR1ON = 0), 然后在 T1CKI 为低电平时 Timer1 被使能 (TMR1ON = 1) 请参见图 图 7-2-2:TIMER1 递增边沿 TICKI = 1 TMR1 使能时 TICKI = 0 TMR1 使能时 注 1: 箭头所指为计数器递增 2: 在计数器模式下, 在时钟的首个递增上升沿之前必须先经过一个下降沿 Timer1 的预分频器 Timer1 有四种预分频选项, 可对时钟输入进行 或 8 分频 T1STA 寄存器的 T1CKPS 位控制预分频计数器 预分频计数器不可直接读写 ; 但当发生对 TMR1H 或 TMR1L 的写操作时, 预分频计数器被清零 64 Product Specification (V1.2)

65 7.2.4 Timer1 振荡器 OSC1( 输入 ) 引脚与 OSC2( 放大器输出 ) 引脚之间外置一个低功耗 kHz 晶振 T1STA 寄存器的 T1OSCEN 控制位置 1 使能振荡器 休眠时振荡器继续工作 Timer1 振荡器与系统 LP 振荡器共用 这样,Timer1 就只能在主系统时钟来自内部振荡器或振荡器处于 LP 模式时使用此模式 用户必须提供软件延时以确保振荡器正常起振 Timer1 振荡器被使能时,CPIOA5 和 CPIOA4 位置 1 PA5 和 PA4 位读为 0 且 CPIOA5 和 CPIOA4 位读为 1 注 : 振荡器在使用前需要一段起振和稳定时间 这样, 应将 T1OSCEN 置 1 并在使能 Timer1 前应有一段适当的延时 Timer1 工作于异步计数器模式 若 T1STA 寄存器的控制位 T1SYNC置 1, 则外部时钟输入不同步 定时器与内部相位时钟异步递增 若选择了外部时钟源, 则定时器将在休眠时继续运行, 并可在溢出时产生中断, 唤醒处理器 然而, 读写定时器时应特别谨慎 ( 见第 节 在异步计数器模式下读写 Timer1 ) 注 : 从同步操作切换为异步操作时, 有可能错过一次递增从异步操作切换为同步操作时, 有可能多产生一次递增 在异步计数器模式下读写 Timer1 定时器运行于外部异步时钟时, 读取 TMR1H 或 TMR1L 将确保读操作有效 ( 由硬件负责 ) 然而, 应该注意的是, 用两个 8 位值来读取 16 位定时器本身就会产生某些问题, 这是因为定时器可能在两次读操作之间产生溢出 对于写操作, 建议用户直接停止计数器, 然后写入所期望的值 如果寄存器正进行递增计数, 对定时器寄存器进行写操作, 可能会导致写入竞争, 从而可能在 TMR1H:TMR1L 这对寄存器中产生不可预测的值 Timer1 门控 Timer1 门控源可软件配置为 T1G 引脚, 这使器件可直接使用 T1G 请参见 PWMT0EB 寄存器 ( 寄存器 1AH) 为外部事件定时 Timer1 门控源的选择 注 : T1STA 寄存器的 TMR1GE 位必须置 1, 更多有关选择 Timer1 门控源请参见 PWMT0EB 寄存器 ( 寄存器 1AH) 使用 T1STA 寄存器的 T1GINV 位可翻转 Timer1 门控 这将配置 Timer1 以确保事件之间存在低电平有效或高电平有效的时间 65 Product Specification (V1.2)

66 7.2.7 Timer1 中断 Timer1 的一对寄存器 (TMR1H:TMR1L) 递增至 FFFFh 后返回 0000h Timer1 计满返回时,PIFB 寄存器的 Timer1 中断标志位被置 1 为确保计满返回时产生中断, 您必须将以下位置 1: T1STA 寄存器的 TMR1ON 位 PIFB 寄存器的 TMR1IE 位 INTS 寄存器的 PEIE 位 INTS 寄存器的 GIE 位 在中断服务程序中将 TMR1IF 位清零将清除中断标志 注 : TMR1H:TTMR1L 这对寄存器和 TMR1IF 位应在允许中断前清零 Timer1 在休眠模式下的工作原理 只有在设定异步计数器模式时,Timer1 才能在休眠模式下工作 在该模式下, 可使用外部晶振或时钟源信号使计数器递增 要设置定时器以唤醒器件 : 必须将 T1STA 寄存器的 TMR1ON 位置 1 必须将 PIFB 寄存器的 TMR1IE 位置 1 必须将 INTS 寄存器的 PEIE 位置 1 必须将 T1STA 寄存器的 T1SYNC 位置 1 必须将 T1STA 寄存器的 TMR1CS 位置 1 可将 T1STA 寄存器的 T1OSCEN 位置 1 溢出时器件将被唤醒并执行下一条指令 INTS 寄若存器的的 GIE 位置 1, 器件将调用中断服务程序 (0004h) 与 Timer1 相关的寄存器汇总 表 : 与 TIMER1 相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 PWMT0EB PWM2_EN PWM1_EN PWM0_EN TMR0_EN T1GSS INTS GIE PEIE TIS INT0E PAIE TIF INT0F PAIF PIFB INT1E ADCIE OSFIE TMR1IE INT1IF ADCIF OSFIF TMR1IF TMR1H 16 位 TMR1 高字节的保持寄存器 xxxx xxxx uuuu uuuu TMR1L 16 位 TMR1 低字节的保持寄存器 xxxx xxxx uuuu uuuu T1STA T1GINV TMR1GE T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0) Timer1 模块不使用阴影单元 7.3 PWM 的输出 PWM 模式将在 PC3 PC4 PC5 引脚产生频率相同, 脉宽单独可调制的 3 路 PWM 信号 当 PWM 使能时,TIMER1 工作在 PWM 模式, 此模式下当 TIMER1 计时器值与周期寄存器值 ({TMR1H,TMR1L} 与 {T1PRLH,T1PRLL}) 相等时, 下一计数周期 Timer1 计数器清零 ({TMR1H,TMR1L} = 0),PWM 输出高电平, 当 TIMER1 计时器值与占空比寄存器值 ({TMR1H,TMR1L} 大于等于 {PWMxH,PWMxL}) 时,PWM 输出低电平 其占空比, 周期和分辨率由以下寄存器决定 : T1PRLL T1PRLH 66 Product Specification (V1.2)

67 PWMxL PWMxH 图 PWM0 脉宽 脉宽 PWM1 脉宽 脉宽 PWM2 脉宽 脉宽 周期 周期 三路 PWM 输出 PWM 的周期 PWM 周期由 Timer1 的 T1PRLH T1PRLL 寄存器决定 PWM 周期计算公式 : PWM 周期 = [{T1PRLH,T1PRLL}+1] * Tosc * TMR1 预分频值 当 TMR1 等于 {T1PRLH,T1PRLL}+1 时, 下一次递增周期将发生以下三个事件 : TMR1 被清零 ; PWM 引脚被置 1( 例外 : 若 PWM 占空比 = 0%, 引脚不被置 1); PWM 占空比重载寄存器值锁存到占空比比较寄存器 ; PWM 的占空比 通过对以下几个寄存器写入 10 位值可设定 PWM 占空比 :PRLxH,PRLxL 为占空比重载寄存器,PWMxL PWMxH 为占空比比较寄存器 ( 只读 ) 脉冲宽度直到周期完成时才将占空比重载寄存器的值锁存到占空比比较寄存器, 这样可以防止程序改变占空比时造成脉冲宽度跳变 用户设定占空比只需写占空比重载寄存器, 而不是占空比比较寄存器 ; 脉冲宽度计算公式 : 脉冲宽度 = [{PWMxH,PWMxL}+1] * Tosc * TMR1 预分频值 占空比 = [{PWMxH,PWMxL}+1] /[{T1PRLH,T1PRLL}+1] 67 Product Specification (V1.2)

68 高脉宽 = {PWMxH,PWMxL} * Tosc * TMR1 预分频值 Timer1 = 0 Timer1 {PWMxH,PWMxL} Timer1 = {T1PRLH,T1PRLL} 周期 = {T1PRLH,T1PRLL} * Tosc * TMR1 预分频值 PWM 的分辨率 分辨率决定某个周期的有效占空比 例如,10 位分辩率有 1024 个分立的占空比, 而 8 位分辩率则有 256 个分立的占空比 [{T1PRLH,T1PRLL}] 为 1024 时产生 10 位的最大 PWM 分辩率 分辩率是 [{T1PRLH,T1PRLL}] 的函数 PWM 分辨率 PWM 分辨率 分辨率 = Log([T1PRLH,T1PRLL] + 1) Log[2] 位 表 :PWM 频率和分辨率示例 (Fosc = 16MHz) PWM 频率 1.953kHz 3.906kHz kHz kHz 62.5kHz 4000kHz 定时器预分频比 ( ) [T1PRLH,T1PRLL] 的值 0x03,0x FF 0x03,0x FF 0x03,0x FF 0x03,0x FF 0x00,0xFF 0x00,0x03 最大分辨率 ( 位 ) 表 :PWM 频率和分辨率示例 (Fosc = 4MHz) PWM 频率 0.488kHz 0.976kHz 1.953kHz 3.906kHz kHz 1000kHz 定时器预分频比 ( ) [T1PRLH,T1PRLL] 的值 0x03,0x FF 0x03,0x FF 0x03,0x FF 0x03,0x FF 0x00,0xFF 0x00,0x03 最大分辨率 ( 位 ) PWM 输出配置 以下是配置 PWM 输出的步骤示例 : 1 端口配置 : 配置 PC3,PC4,PC5 为输出口 2 配置周期 : 配置 TIMER1 控制寄存器 (T1STA), 设定 TIMER1 为定时器模式, 并设定预分频比配置 T1PRLH T1PRLL( 详见 PWM 的周期 ) 3 配置占空比 : 配置占空比重载寄存器 PRLxH,PRLxL 4 使能 PWM: 68 Product Specification (V1.2)

69 设置 PWM 控制寄存器 PWMT0EB 5 使能 TIMER1 置位 TMR1ON, 此时将会使 PRLxH,PRLxL 载入 PWMxH,PWMxL PWM 的寄存器定义 寄存器 1AH:PWM 控制寄存器 (PWMT0EB) R-0 R-0 R-0 R/W-0 R/W-0 R/W-0 R/W-1 R/W-1 PWM2_EN PWM1_EN PWM0_EN TMR0_EN T1GSS bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 Bit4 Bit3 Bit2 Bit1 bit0 PWM2_EN: PWM2 输出使能位 0 = PWM2 禁止输出 1 = PWM2 允许输出 PWM1_EN: PWM1 输出使能位 0 = PWM1 禁止输出 1 = PWM1 允许输出 PWM0_EN:PWM0 输出使能位 0 = PWM0 禁止输出 1 = PWM0 允许输出 TMR0_EN:Timer0 使能位 1 = Timer0 打开 0 = Timer0 关闭 T1GSS:TIMER1 门控源选择位 1 = Timer1 门控源为 T1G 引脚 ( 配置为数字输入 ); 0 = Timer1 门控源为常开 寄存器 117H:PWM0/1/2 周期寄存器的低字节 (T1PRLL) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 PWM0/1/2 周期寄存器的低字节 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 寄存器 197H:PWM0/1/2 周期寄存器的高 2 位 (T1PRLH) R-0 R-0 R-0 R-0 R-0 R-0 R/W-0 R/W-0 bit7 bit1 bit0 T1PRLH 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 bit1-0 T1PRLH : PWM0/1/2 周期寄存器的高 2 位 U = 未实现位, 读为 0 0 = 清零 x = 未知 69 Product Specification (V1.2)

70 寄存器 112H:PWM0 占空比重载寄存器低字节 (PRL0L) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 PWM0 占空比重载寄存器的低 8 位 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 寄存器 192H:PWM0 占空比比较寄存器低字节 (PWM0L) R-0 R-0 R-0 R-0 R-0 R-0 R-0 R-0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 PWM0L : PWM0 占空比比较寄存器低字节 寄存器 198H:PWM0 占空比比较寄存器高 2 位与占空比重载寄存器高 2 位 (EPR0) R-0 R-0 R-0 R-0 R-0 R-0 R/W-0 R/W-0 bit7 bit0 PWM0H PRL0H 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit3-2 PWM0H : PWM0 占空比比较寄存器高 2 位 bit1-0 PRL0H :PWM0 占空比重载寄存器高 2 位 寄存器 113H:PWM1 占空比重载寄存器低字节 (PRL1L) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 PWM1 占空比重载寄存器的低 8 位 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 寄存器 193H:PWM1 占空比比较寄存器低字节 (PWM1L) R-0 R-0 R-0 R-0 R-0 R-0 R-0 R-0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 PWM1L : PWM1 占空比比较寄存器低字节 70 Product Specification (V1.2)

71 寄存器 199H:PWM1 占空比比较寄存器高 2 位与占空比重载寄存器高 2 位 (EPR1) R-0 R-0 R-0 R-0 R-0 R-0 R/W-0 R/W-0 bit7 bit0 PWM1H PRL1H 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit3-2 PWM1H : PWM1 占空比比较寄存器高 2 位 bit1-0 PRL1H : PWM1 占空比重载寄存器高 2 位 寄存器 114H:PWM2 占空比重载寄存器低字节 (PRL2L) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 PWM2 占空比重载寄存器的低 8 位 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 寄存器 194H:PWM2 占空比比较寄存器低字节 (PWM2L) R-0 R-0 R-0 R-0 R-0 R-0 R-0 R-0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 PWM2L : PWM2 占空比比较寄存器低字节 寄存器 19AH:PWM2 占空比比较寄存器高 2 位与占空比重载寄存器高 2 位 (EPR2) R-0 R-0 R-0 R-0 R-0 R-0 R/W-0 R/W-0 bit7 bit0 PWM2H PRL2H 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit3-2 PWM2H : PWM2 占空比比较寄存器高 2 位 bit1-0 PRL2H: PWM2 占空比重载寄存器高 2 位 71 Product Specification (V1.2)

72 8.0 模拟数字转换器 (ADC) 模块 模数转换器 (Analog-to-digitalConverter,ADC) 可将模拟输入信号转换为相应的 12 位二进制表征值 该系列器件采用多个模拟输入复用到一个采样保持电路 采样保持电路的输出与转换器的输入相连接 转换器通过逐次逼近法产生 12 位二进制值, 并将转换结果保存在 ADC 结果寄存器 (ADRESL:ADRESH) 中 ADC 参考电压可用软件选择为 VDD 或施加在外部参考引脚上的电压 8.1 ADC 框图 VDD VREF BG REF_SEL<2:0> PA0 PA1 PA2 PA4 PC0 PC1 VDD/2 BUSY A/D ADC0H ADC0L CHN_SEL<2:0> ADC_EN 8.2 ADC 的配置 配置和使用 ADC 时, 必须考虑以下功能 : 端口配置 通道选择 ADC 参考电压选择 ADC 转换时钟 72 Product Specification (V1.2)

73 ADC 启动转换的方式 ADC 中断控制 转换结果的对齐方式 端口配置 ADC 可用于模拟信号转换成数字信号 转换模拟信号时, 应将相关的 CPIOA CPIOC 和 ADINS 位置 1 将 I/O 引脚应配置为模拟输入功能 更多信息请参见相应的端口章节 注 : 如果定义为数字输入的引脚上存在模拟电压, 可导致输入缓冲器传导过大的电流 通道选择 ADS0 寄存器的 CHS 位决定将哪个通道连接到采样保持电路 改变通道时, 开始下一次转换前需要一个延时 更多信息请参见第 8.3 节 ADC 的工作过程 ADC 参考电压选择 ADS0 寄存器的 CHN_SEL 位决定将哪个通道连接到采样保持电路 正参考电压可以是 : 选电压电压 VDD 做为 ADC 的参考 选外部 ( 来自 PC1) 做为 ADC 的参考 选内部 BANDGAP 的输出电压做为 ADC 的参考, 这个电压可以通过配置寄存器选择 2V,3V,4V 负参考电压始终连接到参考地 ADC 转换时钟 可用软件选择转换时钟源, 通过设置 ADC0CN 寄存器的 SCx 位, 有以下 4 种时钟选项 : FOSC/2 FOSC/4 FOSC/8 FOSC/16 完成一位 (bit) 的转换时间定义为 T AD 完成 12 位转换需要 17 个 T AD 周期, 如图 所示 表 所示为正确选择 ADC 时钟的示例 注 : 任何系统时钟频率的变化均会改变 ADC 时钟频率, 这将对 ADC 结果产生负面影响 图 8-2-4: 模数转换 Tad 周期 73 Product Specification (V1.2)

74 TAD1 TAD2 TAD3 TAD4 TAD5 TAD6 TAD7 TAD8 TAD9 TAD10 b11 b10 b9 b8 b7 b6 b5 b4 b3 b2 TAD11 b1 TAD12 b0 转换开始 开始采样模拟输入信号, 需要 4 个 ADC 时钟 装载 ADRESH 和 ADRESL 寄存器, BUSY 位清零, ADIF 位置 1, 保持电容连接到模拟输入 表 8-2-4:ADC 时钟周期 (T AD ) 器件工作频率 (Vdd 3.0V) ADC 时钟周期 (T AD) 器件频率 (F OSC) ADC 时钟源 SCx<1:0> 16 MHz 8 MHz 4 MHz 1 MHz F OSC/ ns (1) 250 ns (1) 500 ns (1) 2.0 us F OSC/ ns (1) 500 ns (1) 1.0 us 4.0 us F OSC/ ns (2) 1.0 us 2.0 us 8.0 us (2) F OSC/ us 2.0 us 4.0 us 16.0 us (2) 图注 : 阴影单元超出了建议范围 注 1: 这些值违反了最小 T AD 时间要求 2: 要加快转换, 建议选择其他时钟源 转换启动方式 有 3 种 A/D 转换启动方式, 由 ADC0CN 中的 ADC0 转换启动方式位 CM[1:0] 的状态决定采用哪一种方式 转换的触发源有 : GO/DONE写 1 Timer0 溢出 Timer1 溢出注 :ADC 转换进行中, 即使新的转换启动条件有效,ADC 并不中断当前转换, 硬件忽略新的转换启动 ADC 模块中断 ADC 转换完成时, 如果 ADC 中断 ADIE 使能并且全局中断标志 GIE 也使能的情况, 将产生 ADC 中断, 进入中断服务程序 ; 在中断服务程序中必须软件清除中断标志 ADIF( 清 0) 数据对齐方式 ADC 转换结果可以设置右对齐或者左对齐, 寄存器 ADC0CN 的 ADFM 位来决定 74 Product Specification (V1.2)

75 (ADFM = 0) MSB ADRESH bit 7 bit 0 bit 7 ADRESL LSB 12 位 A/D 结果未实现 : 读为 0 (ADFM = 1) MSB bit 7 bit 0 bit 7 LSB 未实现 : 读为 0 12 位 A/D 结果 8.3 ADC 的工作过程 启动转换 要使能 ADC 模块, 必须将 ADS0 寄存器的 ADON 位置 1 将 ADS0 寄存器的 GO/DONE位置 1 将启动模数转换 注 : 请参见第 节 A/D 转换步骤 转换完成 转换完成时,ADC 模块将 : 将 GO/DONE位清零 将 ADIF 标志位置 1 用新的转换结果更新 ADRESH:ADRESL 寄存器 A/D 转换步骤 以下是使用 ADC 进行模数转换的步骤示例 : 1. 配置端口 : 配置 IO 引脚为输入 ( 见 CPIO 寄存器 ) 将引脚配置为模拟口 2. 配置 ADC 模块 : 选择 ADC 转换时钟 配置参考电压 选择 ADC 输入通道 选择转换结果的格式 打开 ADC 模块 3. 配置 ADC 中断 ( 可选 ): 将 ADC 中断标志清零 允许 ADC 中断 75 Product Specification (V1.2)

76 允许外设中断 (1) 允许全局中断 4. 等待所需的采集时间 (2) 5. 将 GO/ DONE 置 1 启动转换 ( 或等等待 TMR0 TMR1 溢出启动 ) 6. 通过以下情况之一等待 ADC 转换完成 : 查询 GO/ DONE 位 等待 ADC 中断 ( 允许中断时 ) 7. 读取 ADC 结果 8. 将 ADC 中断标志清零 ( 必允许中断 ) 例 8-3-4:A/D 转换 ; BANK1 LDWI B STWR CPIOC LDWI B ;PC0 作为模拟输入 (AN4) STWR ADINS ; 模拟口 数字口设定,1: 模拟口,0: 数字口 LDWI B LCALL GET_AD LJUMP $ ; ;ADC 转换子程序 : GET_AD: BANK3 STWR ADS0 BK3TOBK2 BSR ADC_EN ; 开启 ADC 模块后需要延时 LDWI 20 LCALL DELAY_US BSR GO ; 启动 AD 转换 BTSC BUSY LJUMP $-1 ; 等待 AD 转换结束 BANK1 LDR ADRESL,W STWR ADC_L BK1TOBK0 LDR ADRESH,W STWR ADC_H BK0TOBK2 BCR ADC_EN ; 关闭 ADC 转换模块, 可以省电, BK2TOBK0 GET_AD_EXIT: RET 76 Product Specification (V1.2)

77 8.4 ADC 寄存器定义 ADC0CN 寄存器 11EH:A/D 控制寄存器 R-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 NA ADFM SC0 SC1 ADC_EN GO/DONE (BUSY) CM1 CM0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7 NA: 未实现 bit6 bit5-4 bit3 bit2 bit1-0 ADFM:ADC 输出结果对齐方式选择位 0 = 左对齐, 低位补零 1 = 右对齐, 高位补零 SC0 SC1:ADC 时钟预分频选择位 00 = sys_clk 2 分频 01 = sys_clk 4 分频 10 = sys_clk 8 分频 11 = sys_clk 16 分频 注 :sys_clk 为系统时钟, 可通过 OSCCON 设定,ADC 时钟设置推荐见表 ADC_EN:ADC 使能位 0 = ADC 禁止 1 = ADC 使能 GO/DONE 0 = 不忙 (BUSY):ADC 启动 ( 忙 ) 标志位 1 = 转换 ( 忙 ) CM<1:0>:ADC0 启动转换模式选择, 指定 ADC 启动转换源 0x = GO/DONE写 1 10 = Timer0 溢出 11 = Timer1 溢出 ADRESH:ADC 结果高位寄存器 寄存器 1EH:ADC 结果高位寄存器 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 ADC 结果高字节寄存器位, 注 : 写数据动作使得 ADRESH 寄存器清零 77 Product Specification (V1.2)

78 8.4.3 ADRESL:ADC 结果低位寄存器 寄存器 9EH:ADC 结果寄存器 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 bit7-0 ADC 结果低字节寄存器位注 : 写数据动作使得 ADRESL 寄存器清零 ADS0 寄存器 19DH:A/D 控制寄存器 R-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-1 R/W-0 R/W-0 NA CHN_SEL2 CHN_SEL1 CHN_SEL0 REFSL1 REFSL0 VREF_SEL1 VREF_SEL0 bit7 bit0 图注 : R = 可读位 -n = POR 时的值 bit7 NA: 读为 0 W = 可写位 1 = 置 1 U = 未实现位, 读为 0 0 = 清零 x = 未知 Bit6-4 bit3-2 bit1-0 CHN_SEL<2:0>: ADC 模拟通道选择位 000 = ADC 输入通道关断 001 = PA0 ADC 输入通道 = PA1 ADC 输入通道 = PA2 ADC 输入通道 = PA4 ADC 输入通道 = PC0 ADC 输入通道 = PC1 ADC 输入通道 = ADC 输入电压为 VDD/2 REFSL<1:0>: 电压参考选择 00 = ADC 电压参考是 VDD pin 01 = ADC 电压参考是 PC1/VREF pin 1X = ADC 电压参考是内部电压参考 VREF_SEL<1:0>: 内部电压参考选择 (2V/3V/4V) 00 = 2V 01 = 3V 1x = 4V 表 9-5: 与 ADC 相关的寄存器汇总 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 POR 和 BOR 时的值 所有其他 复位值 ADC0CN ADFM SC1 SC0 ADC_EN GO/ DONE CM1 CM ADINS AN5 AN3 AN4 AN2 AN1 AN ADS0 NA CHN2 CHN1 CHN0 REFSL1 REFSL0 VREF1 VREF Product Specification (V1.2)

79 ADRESH A/D 结果寄存器高字节 xxxx xxxx uuuu uuuu ADRESL A/D 结果寄存器低字节 xxxx xxxx uuuu uuuu INTS GIE PEIE TIS INS PAIE TIF INTF PAIF PIFB INT1E ADCIE OSFIE TMR1IE INT1IF ADCIF OSFIF TMRIF PORTA PA5 PA4 PA3 PA2 PA1 PA0 00xx xxxx 00xx xxxx PORTC PC5 PC4 PC3 PC2 PC1 PC0 xxxx xxxx xxxx xxxx CPIOA CPIOA5 CPIOA4 CPIOA3 CPIOA2 CPIOA1 CPIOA CPIOC CPIOC5 CPIOC4 CPIOC3 CPIOC2 CPIOC1 CPIOC 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0) ADC 模块不使用阴影单元 9.0 配置寄存器 配置寄存器是用来配置 MCU 的设置, 其原始值存在 Flash 的某些位置, 在 MCU 启动时从 Flash Boot 到配置寄存器中, 配置寄存器位宽为 8 位, 由于 Flash 的位宽为 14 位,Boot 时, 只 Boot 低 8 位至配置寄存器 9.1 Config Option Config Option 用于给客户提供配置选项 寄存器 801H: 配置字寄存器高 8 位 (Config1 Option) RD_CTRL LVDS1 LVDS0 FCMEN IESO LVREN1 LVREN0 bit15 bit8 寄存器 800H: 配置字寄存器低 8 位 (Config0 Option) CPB MCLRE PWRTE bit7 WDTE FOSC2 FOSC1 FOSC0 bit0 bit15 bit14 bit13-12 bit11 未实现 : 保留 RD_CTRL: 输出模式时读端口控制 1: 读数据端口返回的 PIN 上的值 0: 读数据端口返回的锁存器上的值 LVDS <1:0>: 低电压复位电压设定 11:1.7V 10:1.7V 01:2.1V 00:3.8V FCMEN: 系统时钟失效监测使能位 1: 使能系统时钟失效监测, 仅在系统时钟为外部晶振模式才起作用 0: 禁止系统时钟失效监测 bit10 IESO: 内 外时钟切换使能位, 二速启动模式使能, 1: 使能二速启动模式, 仅在系统时钟为外部晶振模式才起作用 0: 禁止二速启动模式 bit9-8 LVDEN <1:0>: 低电压复位选择 (1) 11: 低电压复位使能 10:MCU 工作时, 低电压复位开启 ;MCU 睡眠时, 低电压复位关闭 01: 低电压复位由 PCON 之 SBOREN 位决定 00: 禁止低电压复位 79 Product Specification (V1.2)

80 bit7 未实现 : 保留 bit6 CPB: 代码保护位 (3) 1:Flash 内容不保护 0: 启动 Flash 内容保护,MCU 能读, 串口不能读 bit5 bit4 bit3 bit2-0 注 MCLRE:PA3/MCLR 引脚功能选择位 1:PA3/ MCLR (4) 脚执行 MCLR 功能, 是复位脚 0:PA3/ MCLR脚执行 PA3 功能, 是数字输入引脚 PWRTE : 上电延时定时器使能位 1:PWRT 禁止 0:PWRT 使能 WDTE: 看门狗定时器使能位 1:WDT 使能, 程序不能禁止 0:WDT 禁止, 但程序可通过设置 WDTCON 的 SWDTEN 位将 WDT 使能 FOSC <2:0>: 振荡器选择位 111:INTOSCIO Oscillator 模式,PA4 为 IO 引脚,PA5 为 IO 引脚 110:RCIO Oscillator 模式,PA4 为 IO 引脚,PA5 时钟输入脚 CLKIN 101:INTOSC Oscillator 模式,PA4 输出时钟 CLKOUT,PA5 为 IO 引脚 100:RC Oscillator 模式,PA4 输出时钟 CLKOUT,PA5 是时钟输入脚 CLKIN 011:EC 模式,PA4 为 IO 引脚,PA5 时钟输入脚 CLKIN 010:HS Oscillator 模式,PA4 PA5 接高频晶振 001:XT Oscillator 模式,PA4 PA5 接晶振 000:LP Oscillator 模式,PA4 PA5 接低频晶振 1: 使能欠压复位不会自动使能上电延时定时器 2: 在 INTOSC 或 RC 模式下当 MCLR有效时, 内部时钟振荡器被禁止 10.0 指令表 指令功能操作影响状态位 NOP 空操作无无 CLRWT 清看门狗 0 WT /TF, /PF SLEEP 进入睡眠模式 0 WT, stop OSC /TF, /PF TMODE 将 W 寄存器值装入选项寄存器 (81H) W 81H 无 CPIO R 设置端口方向寄存器 (1 输入,0 输出 ) W CPIO r 无 STWR R 将 W 寄存器内容送 R 寄存器 W R 无 LDR R, t 读寄存器 R, 结果保存在 R(t=1) 或者 W(t=0) R t Z LDWI I 立即数送 W 寄存器 I W 无 [R(0~3) SWAPR R, t 交换寄存器 R 的高低四位, 结果保存在 R(t=1) 或者 W(t=0) 中无 R(4~7)] t INCR R, t 递增寄存器 R, 结果保存在 R(t=1) 或者 W(t=0) 中 R + 1 t Z INCRSZ R, 递增寄存器 R, 结果保存在 R(t=1) 或者 W(t=0) 中 ; 如果结果等于 0 则跳 R + 1 t 无 t 过该指令接下来的指令 80 Product Specification (V1.2)

81 ADDWR R, t W 寄存器与 R 寄存器相加, 结果保存在 R(t=1) 或者 W(t=0) 中 W + R t C, HC, Z SUBWR R, t R 寄存器减去 W 寄存器, 结果保存在 R(t=1) 或者 W(t=0) 中 RАW t (R+/W+1 t) DECR R, t 递减寄存器 R, 结果保存在 R(t=1) 或者 W(t=0) 中 R А1 t Z DECRSZ R, t 递减寄存器 R, 结果保存在 R(t=1) 或者 W(t=0) 中 ; 如果结果等于 0 则跳 过该指令接下来的指令 R А1 t ANDWR R, t R 寄存器与 W 寄存器做 与 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 R W t Z ANDWI I W 寄存器与立即数 I 做 与 操作, 结果保存到 W 寄存器中 I W W Z IORWR R, t R 寄存器与 W 寄存器做 或 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 R W t Z IORWI I W 寄存器与立即数 I 做 或 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 I W W Z XORWR R, t R 寄存器与 W 寄存器做 异或 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 R W t XORWI I W 寄存器与立即数 I 做 异或 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 I W W Z COMR R, t R 寄存器 取反 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 /R t Z RRR R, t RLR R, t R 寄存器循环 右移 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 R 寄存器循环 左移 操作, 结果保存在 R(t=1) 或者 W(t=0) 中 C, HC, Z 无 R(n) R(n-1), C R(7), R(0) C C R(n) r(n+1), C R(0), R(7) C C CLRW W 寄存器清 0 0 W Z CLRR R R 寄存器清 0 0 R Z BCR R, b R 寄存器的第 b 位清 0 0 R(b) 无 BSR R, b R 寄存器的第 b 位置 1 1 R(b) 无 BTSC R, b 如果 R 寄存器的第 b 位为 0, 则跳过该指令接下来的指令 Skip if R(b)=0 无 BTSS R, b 如果 R 寄存器的第 b 位为 1, 则跳过该指令接下来的指令 Skip if R(b)=1 无 LCALL N 在整个 2K 区域内的调用指令 N PC, PC+1 Stack LJUMP N 在整个 2K 区域内的跳转指令 N PC 无 RTIW I 带立即数从子程序返回 Stack PC, I W 无 ADDWI I W 寄存器与立即数 I 相加, 结果保存到 W 中 PC+1 PC,W+I W C,HC,Z SUBWI I 立即数 I 减去 W 寄存器, 结果保存到 W 寄存器中 I-W W C,HC,Z RTFI 中断返回 Stack PC,1 GIS 无 RET 从子程序返回 Stack PC 无 Z 无 11.0 电气特性 11.1 绝对极限参数 偏置电压下的环境温度 C 至 +85 C 储存温度 C 至 +150 C VDD 引脚相对于 VSS 的电压 V 至 +6.5V MCLR引脚相对于 Vss 的电压 V 至 +9.5V 所有其他引脚相对于 VSS 的电压 V 至 (VDD+0.3V) 总功耗 (1)...600mW 流出 VSS 引脚的最大电流...95mA 81 Product Specification (V1.2)

82 流入 VDD 引脚的最大电流...95mA 输入钳位电流,I IK (V I <0 或 V I >VDD)...±20mA 输出钳位电流,I OK (V o <0 或 V o >VDD)...±20mA 任一 I/O 引脚的最大输出灌电流...25mA 任一 I/O 引脚的最大输出拉电流...25mA PORTA 和 PORTC( 联合 ) 最大灌电流...90mA PORTA 和 PORTC( 联合 ) 最大拉电流...90mA 注 1: 功耗计算公式为 :P DIS = VDD x { I DD - I OH } + { ( V DD - V OH ) x I OH } + ( V OL x I OL ) 注意 : 如果运行条件超过了上述 绝对极限参数值, 即可能对器件造成永久性损坏 上述值仅为运行条件的极大值, 我们不建议器件运行在该规范范围以外 器件长时间工作在绝对极限参数条件下, 其稳定性可能受到影响 图 :MDT10F271 外部振荡电压 - 频率图,-40 C TA +85 C VDD (V) 频率 (MHz) 注 1: 阴影区域表示允许的电压频率组合 图 : 器件 VDD 和温度范围内 HFINTOSC 的频率准确性 82 Product Specification (V1.2)

83 11.2 直流电器特性 直流特性 (1) 符号特性最小值典型值 标准工作条件 工作温度 -40 Ta +85 最大值单位条件 VDD 电源电压 V VDR VPOR SVDD IDD IPD IWDT VIL VIH IOL IOH VPED Rpu (2) RAM 数据保持电压 Vdd 起始电压确保能够产生上 电复位信号 Vdd 上升速率确保能够产生上 电复位信号 (3) 工作电流 (4) 掉电流 (4) WDT 电流 输入低电压 输入高电压 输出灌电流 输出拉电流 低电压复位电压 上拉电阻 0.5* V 器件处于休眠模式 Vss V 0.05* V/ms 420 1M V 4M 810 8M ua 510 1M 790 5V 4M M WDT En PED Dis IRC 1 ua WDT Disable VDD=2.5V 0.5 ua VDD=5V VSS 1.1 3V TLL VSS 1.1 3V SCHMITT V VSS 1.6 5V TLL VSS 1.6 5V SCHMITT 1.1 VDD 3V TLL 1.9 VDD 3V SCHMITT 1.6 VDD V 5V TLL 3.5 VDD 5V SCHMITT 24 VOL=0.7V 28 VOL=0.9V ma 35 VOL=0.7V 42 VOL=0.9V 13 VOH=1.7V 10 VOH=2.1V ma 22 VOH=3.6V 12 VOH=4.2V % % V LOW % % MIDDLE % % HIGH 32 3V K 18 5V 3V 5V 3V 5V 注 : 表示没有, 或待定 (1) 典型栏中数据均为 25 条件下值, 此部分数据仅供参考 (2) 该电压是保证不丢失 RAM 数据的最小 VDD (3) 工作电流主要随工作电压和频率而变化 其它因素, 如总线负载 总线速率 内部代码执行模式和温度也会影响电流消耗 (4) 掉电电流是在器件休眠时, 所有 I/O 引脚都处于高阻态并且连接到 Vdd 或 Vss 时测得 83 Product Specification (V1.2)

84 11.3 交流电气特性 交流特性 (1) 符号特性最小值典型值 标准工作条件 工作温度 -40 Ta +85 最大值单位条件 F OSC 系统时钟 0 8M 20M Hz 5V F HIRC 内部高速时钟 4M 16M Hz 5V F LIRC 内部低速时钟 31K Hz 5V T INT 中断脉冲 2 3 Tins 指令周期 T SST T RSTD 系统启动时间 ( 上电复位 ) 系统启动时间 ( 由 SLEEP 模式唤醒, Fsys 在 SLEEP 模式下关 闭 ) 系统启动时间 ( 由 SLEEP 模式唤醒, 主要在 SLEEP 模式下开启 ) 系统复位延迟时间 ( 上电复位 ) 系统复位时间 (WDT 正常复位 ) ms 5V 1024 Tsys 5V 5V 1024 Tsys 5V ms 5V ms 5V 84 Product Specification (V1.2)

85 12.0 开发支持 12.1 仿真信息 软件 :MDT-IDE 硬件 :YS-Link 85 Product Specification (V1.2)

86 接口 : MDT10F271 具备片内仿真功能, 可通过串口模式仿真调试程序, 串口接口如下 : MDT10F271 YS-Link VDD VDD GND GND PA3 VPP PA0 SCK PA1 SDA 12.2 烧录信息 烧录软件 :YSpringPro 86 Product Specification (V1.2)

87 烧录器 :YS-Writer 87 Product Specification (V1.2)

MDT10F630/ MDT10F676

MDT10F630/ MDT10F676 MT10F272 数据手册 ( 版本 V1.0) 1 器件概述 8 位 MTP CMOS 单片机 存储器 : MTP 空间 :2K*14 位 可经受 1000 次操作 SRAM 空间 :128 字节 8 级深硬件堆栈 I/O 引脚配置具有独立方向控制的 14 个 I/O 引脚 :PA 口 5 个 PC 口 8 个 PA3 开漏输出, 带内部上拉 高灌 / 拉电流可直接驱动 LE PA 端口引脚电平变化中断

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

MDT10F630/ MDT10F676

MDT10F630/ MDT10F676 1.0 器件概述 数据手册 ( 版本 V1.2) 8 位 MTP CMOS 单片机 存储器 : MTP 空间 :(4K+32)*14 位 可经受 1000 次写操作 SRAM 空间 :256+128( 程序可选 ) 字节 8 级深硬件堆栈 I/O 引脚配置 - 26 个双向输入 / 输出 IO 口 - PA PB PD 端口独立的可编程弱上拉 - PC 端口独立的可编程弱上 下拉 - PA PB 端口引脚电平变化中断唤醒

More information

MDT10F630/ MDT10F676

MDT10F630/ MDT10F676 MT10F685 数据手册 ( 版本 V1.3) 1.0 器件概述 8 位 FLASH CMOS 单片机 存储器 : FLASH 空间 :4K*14 位 可经受 10 万次写操作 EEPROM 空间 :256 字节 可经受 100 万次写操作 SRAM 空间 :256 字节 8 级深硬件堆栈 I/O 引脚配置具有独立方向控制的 22 个 I/O 引脚 :PA 口 6 个 PB 口 8 个 PC 口

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

MDT10F630/ MDT10F676

MDT10F630/ MDT10F676 YS65F804 数据手册 ( 版本 V1.0) 1.0 器件概述 存储器 : MTP 空间 :2K*14 位 可经受 1000 次写操作 SRAM 空间 :128 字节 CS SRAM:32 字节 8 级深硬件堆栈 I/O 引脚配置 具有独立方向控制的 6 个 I/O 引脚 :PA 口 6 个 PA3: 外部复位, 当设定为输出时为开漏输出模式 (open drain) PA 端口独立的可编程弱上

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 查询 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 8 位数据总线 EPROM 大小 :2K 内部 RAM 大小 :160 字节 (128 通用目的寄存器,32 特殊寄存器 ) 37

More information

70046d_cn.book(70055c_cn.fm)

70046d_cn.book(70055c_cn.fm) 第 8 章复位 目录 本章包括下列主题 : 8.1 简介...8-2 8.2 复位时的时钟源选择... 8-5 8.3 POR: 上电复位... 8-5 8.4 外部复位 (EXTR)... 8-7 8.5 软件复位指令 (SWR)... 8-7 8.6 看门狗超时复位 (WDTR)... 8-7 8.7 欠压复位 (BOR)... 8-8 8.8 使用 RCON 状态位... 8-10 8.9 器件复位时间...

More information

标题

标题 本章学习目标 PIC16F87X 单片机与其他单片机相比具有一些独特的优点, 采用这些芯片构成的应用开发系统, 不仅可以提供最高的系统可靠性, 而且还能最大限度地减少外围器件, 以降低系统成本和功耗 掌握 PIC16F87X 单片机多功能的配置方式, 对于从事单片机应用开发工程人员来说, 是非常必要的, 同时也为其提供了广阔的选择空间 PIC16F87X 单片机的配置方式包括以下内容 : (1) 振荡器选择

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR)

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR) 第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介... 40-2 40.2 复位时的时钟源选择... 40-5 40.3 上电复位 (POR)... 40-5 40.4 MCLR 复位... 40-7 40.5 软件 RESET 指令 (SWR)... 40-7 40.6 看门狗定时器复位 (WDTR)... 40-7 40.7 欠压复位 (BOR)... 40-8

More information

... 错误! 未定义书签 DATASHEET 特性 程序存储器 脚位图 特殊功能寄存器 地址映射 SFR,BANK SFR,BANK

... 错误! 未定义书签 DATASHEET 特性 程序存储器 脚位图 特殊功能寄存器 地址映射 SFR,BANK SFR,BANK DATASHEET page1 ... 错误! 未定义书签 DATASHEET... 1 1 特性... 9 1.1 程序存储器... 10 1.2 脚位图... 12 2 特殊功能寄存器... 17 2.1 地址映射... 17 2.1.1 SFR,BANK0... 17 2.1.2 SFR,BANK1... 18 2.1.3 SFR,BANK2... 20 2.1.4 STATUS 寄存器, 地址

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Real-Time Clock and Calendar (RTCC)

Real-Time Clock and Calendar (RTCC) 第 7 章复位 目录 本章包括下列主题 : 7 7.1 简介...7-2 7.2 复位时的时钟源选择... 7-4 7.3 上电复位 (POR)... 7-4 7.4 MCLR 复位... 7-6 7.5 软件 RESET 指令 (SWR)... 7-6 7.6 看门狗超时复位 (WDTR)... 7-6 7.7 欠压复位 (BOR)... 7-7 7.8 配置不匹配复位... 7-7 7.9 陷阱冲突复位...

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

41206A.book

41206A.book 数据手册 带 A/D 转换器和 增强型捕捉 / 比较 /PWM 的 8 位闪存单片机 2004 Microchip Technology Inc. 初稿 DS41206A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

41213C.book

41213C.book 数据手册 8 位 CMOS 闪存 单片机系列 2006 Microchip Technology Inc. DS41213C_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC162 SZFC 2017/5/26 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉,PB3 可做标准 IO 口 *4 级硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 2016 年 6 月

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

FT25H16

FT25H16 TX- F01I81xx ATASHEET TX01I81xx www.xdssemi.com /001 目录 TX-F01l81-xx... 1 ATASHEET... 1 1. 特性... 5 1.1 程序存储器... 6 1.2 脚位图... 7 2. SFR... 8 2.1 地址映射... 8 2.1.1. SFR,BANK0... 8 2.1.2. SFR,BANK1... 9 2.1.3.

More information



 8 位微控制器 ET18F11/11 数据手册 深圳市鹏锦科技有限公司 联系电话 :07828600 手机 :1820771884 传真 :07212178 联系人 : 何颖 QQ:6700001 深圳市福田区振华路家乐大厦 4 楼 B 公司网址 :www.pj212178.com 产品订购信息 型号 FLS RM 封装 ET18F11 1KX1 64B SOIC14 目录 1 概述...4 2 芯片特征...4

More information

B_CN.book

B_CN.book 6/8 引脚 8 位闪存单片机 高性能 RISC CPU: 只需要学习 35 条指令 : - 除了跳转指令以外, 所有的指令都是单周期指令 工作速度 : - DC 16 MHz 时钟输入 - DC 250 ns 指令周期 最多 512 字的闪存程序存储器 64 字节数据存储器 8 级深硬件堆栈 中断功能 处理器可对程序存储器进行自写 / 读访问 引脚布局与其他 6 引脚 PIC10FXXX 单片机兼容

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

BJ2C004ANB

BJ2C004ANB BJ2C004A 规 格 书 (v1.0) 1 目录 1. 概叙... 4 2. 功能特性... 4 3. 功能框图...5 4. 管脚图... 5 4.1 管脚功能描述...6 5 存储器结构... 7 5.1 程序存储器...7 5.2 数据存储器... 7 6 功能介绍... 9 6.1 寄存器操作... 9 6.1.1 INDF ( 间接寻址寄存器 )... 9 6.1.2 TMR0 ( 定时

More information

41239D.book

41239D.book 数据手册 6 引脚 8 位闪存单片机 2007 Microchip Technology Inc. DS41239D_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

33023A.book(31027A_cn.fm)

33023A.book(31027A_cn.fm) 第 27 章器件配置位 目录 本章包括以下一些主要内容 : 27.1 简介... 27-2 27.2 配置字位... 27-4 27.3 编程校验 / 代码保护... 27-8 27.4 识别码 ID 的位置... 27-9 27.5 设计技巧... 27-10 27.6 相关应用笔记... 27-11 27.7 版本历史... 27-12 27 器件配置位 2004 Microchip Technology

More information

EPROM/ROM-Based 8-Bit Microcontroller Series

EPROM/ROM-Based 8-Bit Microcontroller Series 这份文档里包含下列型号 : EPROM device(opt) EPROM/ROM-Based 8-Bit Microcontroller Series 功能特性 只有 42 个单字指令 除分支指令为两个周期指令以外其余为单周期指令 PC 寻址范围为 13-bit GOTO 指令能跳转到所有的 ROM/EPROM 地址空间 子程序能返回到所有的 ROM/EPROM 地址空间 能处理 8 位数据 5

More information

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器..

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器.. 目录 1. 产品概述... 2 2. 主要特性... 2 3. 系统框图... 3 4. 管脚配置... 4 5. 中央处理器... 5 5.1 指令集... 5 5.2 程序存储器 ROM... 6 5.3 数据存储器 RAM... 7 5.4 CPU 核相关寄存器... 8 5.5 SFR... 10 5.6 配置选项... 11 6. 功能模块... 12 6.1 时钟描述... 12 6.2

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

MC30P01用户手册V1.0

MC30P01用户手册V1.0 WUHAN ESHINE TECHNOLOGY CO., LTD 用户手册 V1.0 CJC-IC 8 位单片机 1 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图... 5 1.3 引脚排列... 6 1.4 引脚说明... 7 1.5 引脚结构示意图... 7 2 中央处理器... 11 2.1 指令集... 11 2.2 程序存储器 ROM... 11 2.3 用户数据存储器

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

PIC单片机16F84的内部硬件资源(一)

PIC单片机16F84的内部硬件资源(一) PIC 单片机 16F84 的内部硬件资源 ( 一 ) 我们已经知道要单片机工作, 就需用汇编语言编制程序 而对某个 PIC 单片机编程时, 还需对选用的 PIC 单片机内部硬件资源有所了解 这里介绍 PIC16F84 单片机的内部结构, 如图 1 所示的框图 由图 1 看出, 其基本组成可分为四个主要部分, 即运算器 ALU 和工作寄存器 W; 程序存储器 ; 数据存储器和输入 / 输出 (I/O)

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

规格书

规格书 数据手册 64/44/Die 引脚 8 位 LCD 型 OTP 单片机 HOLYCHIP 公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 HOLYCHIP 不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任,HOLYCHIP 的产品不是专门设计来应用于外科植入 生命维持和任何 HOLYCHIP 产品产生的故障会对个体造成伤害甚至死亡的领域 如果将 HOLYCHIP

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器 看门狗定时器工作原理 DMT 工作原理 中断和复位产生

第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器 看门狗定时器工作原理 DMT 工作原理 中断和复位产生 第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介... 9-2 9.2 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器... 9-4 9.3 看门狗定时器工作原理... 9-12 9.4 DMT 工作原理... 9-16 9.5 中断和复位产生... 9-18 9.6 I/O 引脚... 9-21 9.7 调试和节能模式下的操作... 9-21

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

QG153中文版说明书.docx

QG153中文版说明书.docx EPROM/ROM-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 注意事项...4 2.0 功能特性...5 3.0 概述...6 4.0 结构图...6 5.0 管脚图...7 5.1 管脚功能描述......7 6.0 存储器结构...8 6.1 程序存储器...8 6.2 数据存储器...9 7.0 功能介绍...11 7.1 寄存器操作...11

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

一、

一、 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序.

More information

Section 9. Watchdog, Deadman, and Power-up Timers

Section 9. Watchdog, Deadman, and Power-up Timers 第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介... 9-2 9.2 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器... 9-4 9.3 看门狗定时器工作原理... 9-13 9.4 DMT 工作原理... 9-17 9.5 中断和复位产生... 9-19 9.6 I/O 引脚... 9-22 9.7 调试和节能模式下的操作... 9-22

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

规格书

规格书 MC30P6070 用户手册 SinoMCU 8 位单片机 2016/5/31 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 订购信息... 5 1.3 系统框图...

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC151 SZFC 2017/6/19 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉 * 硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 V30 2016 年 6 月 2017 年 7 月 2017

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及 SinoMCU 8 位单片机 MC30P6070 用户手册 V1.4 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 产品概要... 4 1.1 产品特性... 4 1.2 芯片模式... 5 1.3 订购信息... 5 1.4

More information

41607A.book

41607A.book 14 引脚 8 位闪存单片机 高性能 RISC CPU: 优化的 C 编译器架构 仅需学习 49 条指令 可寻址 3.5 KB 的线性程序存储空间 可寻址 128 字节的线性数据存储空间 工作速度 : - DC 20 MHz 时钟输入 - DC 200 ns 指令周期 带有自动现场保护的中断功能 带有可选上溢 / 下溢复位的 16 级深硬件堆栈 直接 间接和相对寻址模式 : - 两个完全 16 位文件选择寄存器

More information

规格书

规格书 8BIT I/O 型 OTP MCU Version 1.05 2018 年 9 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 数据手册的更改, 恕不另行通知 本公司不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 本公司的产品不是专门设计来应用于外科植入 生命维持和任何本公司产品的故障会对个体造成伤害甚至死亡的领域

More information

目录 1 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 存储器 程序存储器 (OTP ROM) 通用数据存储器 (RAM) 特殊功

目录 1 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 存储器 程序存储器 (OTP ROM) 通用数据存储器 (RAM) 特殊功 SQ015L 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1 产品简介... 5 1.1 功能特性... 5 1.2 引脚图... 6 1.3 引脚描述... 7 2 中央处理器 (CPU)... 9 2.1 存储器... 9 2.1.1 程序存储器 (OTP ROM)... 9 2.1.2 通用数据存储器 (RAM)... 12 2.1.3 特殊功能寄存器 (SFR)...

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

Microsoft Word - ET6621.doc

Microsoft Word - ET6621.doc Etek Microelectronics ET6621 LCD 控制驱动电路 概述 ET6621 是用来对 MCU 的 I/O 口进行扩展的外围设备 显示矩阵为 32 4, 是一个 128 点阵式存储器映射多功能 LCD 驱动电路 ET6621 的软件特性使它很适合应用于 LCD 显示, 包括 LCD 模块和显示子系统 在主控制器和 ET6621 之间的接口应用只需要 3 或 4 个端口 Power

More information

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 - 192 个数据存储器 工作电压 : - f OSC = 30kHz - 4MHz,V DD = 2.4V - 5.5V - f OSC = 4MHz - 10MHz,V DD = 4.5V

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

HS2303-P

HS2303-P HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器

More information

请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏

请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏 查询 PIC16F887( 汉 ) 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 PIC16F882/883/884/886/887 数据手册 采用纳瓦技术的 28/40/44 引脚 增强型闪存 8 位 CMOS 单片机 2007 Microchip Technology Inc. 初稿 DS41291D_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information