<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

Size: px
Start display at page:

Download "<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>"

Transcription

1 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位 (POR) 欠压复位 (BOR) 看门狗定时器 SRAM 等电路, 具有睡眠模式 工作模式等 无线射频芯片应用带宽在 300M~450MHz 之间, 在传输功率 工作电压和工作温度方面有着显著的优点 当外接 50Ω 负载时, 输出强度最高可达 +10dBm, 可满足很多小型传输系统的要求 采用 ASK/OOK( 幅移键控 / 开关键控 ) 的方式调制数据, 最高传输速率达 10kbps 仅需外加晶振及少量外围器件即可实现发射功能 本芯片主要适用于在工业 消费领域中要求结构简单 规模较小的无线遥控系统 2. 特征 可编写任意码型 工作电压范围 :2.0V~3.6V 1K*13 位片内 ROM 内置 RC 4MHz±2% 最多可构成 21 个按键 SOP16 封装 2.1 RF 芯片特征 完整的超高频传输 频率范围 300MHz 到 450MHz 比特率最高达 10kbps 输出功率最高达 10dBm ASK/OOK 调制 极少的外围器件 符合 ETSI_EN_300_220-1_V2.3.1 标准 石英或陶瓷振荡器 3. 应用 车辆防盗系统 家庭防盗系统 多媒体远程控制 其他工业遥控 4. 封装信息 4.1 管脚图 第 1 页共 7 页 Ver.1.0

2 4.2 管脚说明 管脚序号管脚名称输入 / 输出功能描述 1 PVSS 输 入 信号地 2 PAOUT 输 出 功放输出 3 PB3 准双向 I/O 1 扫描端口 2 一般 I/O 口, 可读写 4 GND 输 入 地 5 VDD 输 入 电源 6 VPP 输 入 单片机烧写引脚 7 PA0 8 PA1 9 PA2 1 扫描端口准双向 I/O 10 PA3 2 一般 I/O 口, 可读写 11 PA4 12 PB0 13 GND 输 入 地 14 XTLIN 输 入 晶振输入 15 XTLOUT 输 入 晶振输出 16 VCC 输 入 电源 5. 电路框图 VPP VDD GND XTLOUT XTLIN PA0 PA1 PA2 PA3 PA4 PB0 PB3 键盘扫描输入输出电路 内置 RC 电路 OTP ROM RC_sel 译码电路 WDT 电路 控制电路 振荡电路 输出控制电路 锁相环 低压检测 功率放大器 逻辑控制 PAOUT 6. 极限参数 (Ta=25 ) 参数 符号 范围 单位 电源电压 Vcc -0.3 ~ 5 V 输入电压 Vi -0.3 ~ Vcc+0.3 V 输出电压 Vo -0.3 ~ Vcc+0.3 V 最大功耗 (Vcc=3V) Pa 10 mw 工作温度 Topr -20 ~ +70 储存温度 Tstg -40 ~ +125 传输频率范围 ASK 300~450 MHz 第 2 页共 7 页 Ver.1.0

3 7. 电气参数 ( 除非特殊说明 :Tamb=25,,Freq(REFOSC)=13.56MHz, 不做特殊说明默认数据传输速 度 2kbps, 接 50Ω 负载 ) 参数 测试条件 最小值 典型值 最大值 单位 电源电压 V 静态电流 振荡器停振,DATA= µa 工作满载电流 315MHz,POUT=+10dBm 20 DATA= MHz,POUT=+10dBm 20 ma PA0~PB3 输入高电压 1.4 V PA0~PB3 输入低电压 1.3 V PA 低驱动电流 VOL=0.2VDD 11 ma PA 高驱动电流 VOH=0.8VDD 50 ua PB 低驱动电流 VOL=0.2VDD 11 ma VOH=0.8VDD 50 ua PB 高驱动电流 TRISB = 0 VOH=0.8VDD 3 ma TRISB = 1 工作频率 2~3.8V 455K Hz 上电复位时间 Tp ms 看门狗复位时间 2*Tp ms 端口由低到高上升时间 VDD>2.3V 10 ms 端口由高到低下降时间 VDD>2.3V 100 us 输出延时 VDD 从低到高 500 us 上电 / 掉电复位 1.56 V RF 输出和调制限制 输出功率级别 315MHz MHz 10 dbm 315MHz 谐波 630MHz 2nd harm MHz 3rd harm -53 dbc MHz 谐波 867MHz 2nd harm MHz 3rd harm -52 dbc ASK 调制 编码比特率 10 kbps 占用带宽 OBW 315MHz < MHz <900 khz VCO 315MHz 单边带相位距载波 100kHz -71 噪声距载波 1000kHz -84 dbc/hz MHz 单边带相距载波 100kHz -73 位噪声距载波 1000kHz -82 dbc/hz 基准振荡器 XTLIN,XTLOUT 管脚电容 2 pf 第 3 页共 7 页 Ver.1.0

4 外部电容 每个晶振脚到地 18 pf 起振时间 晶振 300 us 注 :Tp 为静态下管脚扫描周期,3V 情况下通常为 16~18ms 8. 功能说明 HS2303-PT 是通过烧写器录入程序后, 再通过外部晶振产生一个信号输入到内部振荡电路, 通过锁相环倍频到相应的发射频率 与此同时, 按键相对应的数据通过内部逻辑控制电路传入到功率放大器与相应的发射频率经功率放大器混频放大后由 PAOUT 输出, 此输出的高频数据信号经外部发射电路发射出去后可通过相应的接收电路接收并还原 第 4 页共 7 页 Ver.1.0

5 9. 应用说明 以下提供一种典型的应用电路, 在实际应用中根据发射频率的不同可以微调相应的器件参数 : 频率 (MHz) L1(nH) C6(pF) L2(nH) C10(pF) L3(nH) Y1(MHz) 注 :1 其中 L1 C6 L2 的值需根据 PCB 布局做出相应的调整 2 C10 和 L3 可根据实际情况进行调整 2 PB3 接 LED 指示灯可以接到电源或地 第 5 页共 7 页 Ver.1.0

6 10. 封装外型图 10.1 SOP16 封装 封装图 尺寸 Symbol Dimensions In Millimeters Dimensions In Inches Min Max Min Max A A A b c D E E e 1.270(BSC) 0.050(BSC) L θ 第 6 页共 7 页 Ver.1.0

7 Information furnished is believed to be accurate and reliable. However, HuaXin Micro-electronics assumes no responsibility for the consequences of use of such information nor for any infringement of patents or other rights of third parties which may result from its use. The actual parts delivered may not completely agree with the description written here and it is user s responsibility to make wise judgment on the performance. HuaXin Micro-electronics assumes no responsibility for the mismatch occurred. No license is granted by implication or otherwise under any patent or patent rights of HuaXin Micro-electronics. Specifications mentioned in this publication are subject to change without notice. This publication supersedes and replaces all information previously supplied. HuaXin Micro-electronics products are not authorized for use as critical components in life support devices or systems without express written approval of HuaXin Micro-electronics. 第 7 页共 7 页 Ver.1.0

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

5. 电路框图 深圳市福田区华强北路 号深纺大厦 B 座 88 室 Tel: Fax: 极限参数 (Ta=5 ) 参数 符号 范围 单位 电源电压 Vcc -. ~ 5.5 V 输入电压 Vi -. ~ Vcc+. V 输出电压 Vo -. ~ Vcc+.

5. 电路框图 深圳市福田区华强北路 号深纺大厦 B 座 88 室 Tel: Fax: 极限参数 (Ta=5 ) 参数 符号 范围 单位 电源电压 Vcc -. ~ 5.5 V 输入电压 Vi -. ~ Vcc+. V 输出电压 Vo -. ~ Vcc+. . 概述 S 58P 产品说明书 Ver. S58P 是采用低耗高速 MOS 工艺制造的专用编解码电路, 它内部包含一个 Bit 的一次性可编程只读电存储器 (OTP-ROM) S58P 提供给用户一个方便的开发和检验它们的程序的环境 用户可以根据自己需要来确定不同的编码, 有两种编码可选, 最低有 种 ( 百万次 ), 最高有 4 种 ( 万亿次 ), 可以有效地降低编码重复率. 特征 低静态电流,

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司 中 国 A 股 市 场 公 司 研 究 汽 车 及 零 部 件 Autos&Auto Parts 比 亚 迪 (2594) 新 能 源 技 术 变 革 及 应 用 的 领 导 者 211 年 6 月 24 日 Type your comment here 市 场 数 据 211 年 6 月 24 日 当 前 价 格 ( 元 ) - 52 周 价 格 区 间 ( 元 ) - 总 市 值 ( 百 万 )

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本 V3s 项目 CamDroid 编译第三方程序 / V1.0 第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V1.0 2014-04-23 正式版本 第 2 页共 9 页 目录 1. 交叉编译环境... 3 2. 第三方库的 Makefile 示例... 4 3. 第三方应用 Makefile 示例... 5 4. 第三方应用 CamLinux.mk 示例... 6 5. 常见错误...

More information

Microsoft Word - SH090330.doc

Microsoft Word - SH090330.doc 2009 年 3 月 30 日 環 球 指 數 上 周 收 市 價 一 星 期 變 化 百 分 率 四 星 期 變 化 百 分 率 恆 生 指 數 14,119.50 +1285.99 +10.02% +1307.93 +10.21% 國 企 指 數 8,481.22 +985.26 +13.14% +1578.38 +22.87% 上 海 綜 合 指 數 2,374.44 +93.35 +4.09%

More information

第八章 社会事业发展与边疆和谐稳定

第八章  社会事业发展与边疆和谐稳定 附 件 黑 龙 江 和 内 蒙 古 东 北 部 地 区 沿 边 开 发 开 放 规 划 2013 年 8 月 目 录 前 言.1 第 一 章 开 放 基 础 与 发 展 背 景...2 第 一 节 开 放 合 作 条 件... 2 第 二 节 机 遇 与 挑 战... 3 第 三 节 战 略 意 义... 4 第 二 章 战 略 定 位 与 发 展 目 标...4 第 一 节 指 导 思 想...

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 幼 儿 培 育 署 婴 幼 儿 培 育 框 架 凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 婴 幼 儿 培 育 框 架 婴 幼 儿 培 育 框 架 2013 Early Childhood Development Agency Republic of Singapore All rights reserved. No part of this book may be reproduced

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

StorageTek Virtual Storage Manager GUI - 安全指南

StorageTek Virtual Storage Manager GUI - 安全指南 StorageTek Virtual Storage Manager GUI 安 全 指 南 发 行 版 1.0 E72350-01 2015 年 4 月 StorageTek Virtual Storage Manager GUI 安 全 指 南 E72350-01 版 权 所 有 2015, Oracle 和 / 或 其 附 属 公 司 保 留 所 有 权 利 本 软 件 和 相 关 文 档 是

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

封面.PDF

封面.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - A_Daily20160329

Microsoft Word - A_Daily20160329 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 3 月 29 日 星 期 二 (852)

More information

chap-1_NEW.PDF

chap-1_NEW.PDF Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275])

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275]) 股 票 代 號 :1216 TT 2015 全 年 度 業 績 發 佈 (2016.4.11 更 新 ) Disclaimers The information contained in this presentation is intended solely for your personal reference. Such information is subject to change without

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

Microsoft Word - A_Daily20151103

Microsoft Word - A_Daily20151103 陳 鳳 珠, Ellie Chan 高 曉 慶, Stanley Kao 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 日 評 - Shenwan Hongyuan A-Share Daily Notes ellie.chan@swhyhk.com stanley.kao@swhyhk.com 2015 年 11 月 3 日 星 期 二 (852) 2509-8431

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

IEPE IC IEPE IC IEPE 2~20mA 4mA IEPE 2mA 20mA 4mA 18~VDC 24VDC % 5% 3% VDC Ω s 20kgf.cm( ) V BNC IEPE g IEPE (CM3508 CM ) IEPE (VIB ) A R

IEPE IC IEPE IC IEPE 2~20mA 4mA IEPE 2mA 20mA 4mA 18~VDC 24VDC % 5% 3% VDC Ω s 20kgf.cm( ) V BNC IEPE g IEPE (CM3508 CM ) IEPE (VIB ) A R --- --- 0.1Hz-20kHz 0.00005g-000g --- IEPE 2 IEPE IEPE IEPE IEPE IEPE 1 IEPE 2 1 3 4 5 1 2 1 3 2 250 4 20 010-623290 13718232791 950-40399029# 05 IEPE IC IEPE IC IEPE 2~20mA 4mA IEPE 2mA 20mA 4mA 18~VDC

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information