41213C.book

Size: px
Start display at page:

Download "41213C.book"

Transcription

1 数据手册 8 位 CMOS 闪存 单片机系列 2006 Microchip Technology Inc. DS41213C_CN

2 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 Accuron dspic KEELOQ microid MPLAB PIC PICmicro PICSTART PRO MATE PowerSmart rfpic 和 SmartShunt 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 AmpLab FilterLab Migratable Memory MXDEV MXLAB PICMASTER SEEVAL SmartSensor 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 AnalogfortheDigital Age Application Maestro dspicdem dspicdem.net dspicworks ECAN ECONOMONITOR FanSense FlexROM fuzzylab InCircuit Serial Programming ICSP ICEPIC Linear Active Thermistor MPASM MPLIB MPLINK MPSIM PICkit PICDEM PICDEM.net PICLAB PICtail PowerCal PowerInfo PowerMate PowerTool Real ICE rflab rfpicdem Select Mode Smart Serial SmartTel Total Endurance UNI/O WiperLock 和 Zena 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2006, Microchip Technology Inc. 版权所有 Microchip 位于美国亚利桑那州 Chandler 和 Tempe 及位于加利福尼亚州 Mountain View 的全球总部 设计中心和晶圆生产厂均于 2003 年 10 月通过了 ISO/TS16949:2002 质量体系认证 公司在 PICmicro 8 位单片机 KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS 16949:2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 DS41213C_CN 第 ii 页 2006 Microchip Technology Inc.

3 8 位 CMOS 闪存单片机系列 PIC16F5X 高性能 RISC CPU 仅需学习 33 条简单指令 除程序跳转指令为双周期指令外, 其他指令都是单周期指令 两级深硬件堆栈 数据和指令直接 间接和相对寻址模式 工作速度 : 时钟速率为 DC 20 MHz 指令周期为 DC 200 ns 片上闪存程序存储器 : 512 x 12 (PIC16F54) 2048 x 12 (PIC16F57) 2048 x 12 (PIC16F59) 通用寄存器 (SRAM): 25 x 8 (PIC16F54) 72 x 8 (PIC16F57) 134 x 8 (PIC16F59) 单片机特性 上电复位 (Poweron Reset, POR) 器件复位定时器 (Device Reset Timer, DRT) 采用自身片上 RC 振荡器可靠工作的看门狗定时器 (Watchdog Timer, WDT) 可编程代码保护 低功耗休眠模式 在线串行编程器 (InCircuit Serial Programming, ICSP ) 可选的振荡器 : RC: 低成本 RC 振荡器 XT: 标准晶振 / 谐振器 HS: 高速晶振 / 谐振器 LP: 低功耗低速晶振器 封装 : PIC16F54 采用 18 引脚 PDIP 和 SOIC PIC16F54 采用 20 引脚 SSOP PIC16F57 采用 28 引脚 PDIP SOIC 和 SSOP PIC16F59 采用 40 引脚 PDIP PIC16F59 采用 44 引脚 TQFP 低功耗特性 工作电流 : 当电压为 2V 频率为 4 MHz 时, 典型值为 170 µa 当电压为 2V 频率为 32 khz 时, 典型值为 15 µa 待机电流 : 当电压为 2V 时, 典型值为 500 na 外设特性 12/20/32 I/O 引脚 : 独立方向控制 高灌 / 拉电流 带 8 位可编程预分频器的 8 位实时时钟 / 计数器 (TMR0) CMOS 技术 宽工作电压范围 : 工业级 : 2.0V 到 5.5V 扩展级 : 2.0V 到 5.5V 宽温度范围 : 工业级 : 40 C 到 +85 C 扩展级 : 40 C 到 +125 C 高耐用性闪存 : 可经受 100K 次擦写 数据保存时间 >40 年 程序存储器 数据存储器 8 位 器件 I/O 定时器 闪存 ( 字数 ) SRAM ( 字节数 ) PIC16F PIC16F PIC16F Microchip Technology Inc. DS41213C_CN 第 1 页

4 DS41213C_CN 第 2 页 2006 Microchip Technology Inc. 引脚框图 PDIP 和 SOIC RA1 RA0 OSC1/CLKIN OSC2/CLKOUT VDD VDD RB7/ICSPDAT RB6/ICSPCLK RB5 RB4 RA2 RA3 T0CKI MCLR/VPP VSS VSS RB0 RB1 RB2 RB SSOP RA2 RA3 T0CKI MCLR/VPP VSS RB0 RB1 RB2 RB RA1 RA0 OSC1/CLKIN OSC2/CLKOUT VDD RB7/ICSPDAT RB6/ICSPCLK RB5 RB PDIP 和 SOIC MCLR/VPP OSC1/CLKIN OSC2/CLKOUT RC7 RC6 RC5 RC4 RC3 RC2 RC1 RC0 RB7/ICSPDAT RB6/ICSPCLK RB5 T0CKI VDD VSS RA0 RA1 RA2 RA3 RB0 RB1 RB2 RB3 RB SSOP VDD VSS T0CKI VDD N/C VSS N/C RA0 RA1 RA2 RA3 RB0 RB1 RB2 RB3 RB4 MCLR/VPP OSC1/CLKIN OSC2/CLKOUT RC7 RC6 RC5 RC4 RC3 RC2 RC1 RC0 RB7/ICSPDAT RB6/ICSPCLK RB5 PIC16F54 PIC16F54 PIC16F57 PIC16F T0CKI RE7 RE6 RE5 RE4 VDD OSC1/CLKIN OSC2/CLKOUT RD7 RD6 RD5 RD4 RD3 RD2 PIC16F59 RA0 RA1 RA2 RA3 GND RB0 RB1 RB2 RB3 RB4 RB5 RB6/ICSPCLK RB7/ICSPDAT MCLR/VPP VDD RC0 RC1 RC2 RC3 RC4 RD1 GND RD0 RC7 RC6 RC5 PDIP,0.600" RD0 RC7 RC6 RC5 RC4 VDD RC3 RC2 RC1 RC0 VDD RA3 RA2 RA1 RA0 RE7 RE6 RE5 RE4 VDD VDD OSC1/CLKIN OSC2/CLKOUT RD7 RD6 RD5 RD4 RD3 RD2 RD1 GND GND GND GND RB0 RB1 RB2 RB3 RB4 RB5 RB6/ICSPCLK RB7/ICSPDAT MCLR/VPP T0CKI PIC16F59 TQFP

5 目录 1.0 一般说明 架构综述 存储器的构成 振荡器配置 复位 I/O 端口 Timer0 模块和 TMR0 寄存器 CPU 的特性 指令集综述 开发支持 PIC16F54/57 电气规范 PIC16F59 电气规范 封装信息 Microchip 网站 变更通知客户服务 客户支持 读者反馈表 产品标识体系 致客户 我们旨在提供最佳文档供客户正确使用 Microchip 产品 为此, 我们将不断改进出版物的内容和质量, 使之更好地满足您的要求 出版物的质量将随新文档及更新版本的推出而得到提升 如果您对本出版物有任何问题和建议, 请通过电子邮件联系我公司 TRC 经理, 电子邮件地址为 CTRC@microchip.com, 或将本数据手册后附的 读者反馈表 传真到 我们期待您的反馈 最新数据手册 欲获得本数据手册的最新版本, 请查询我公司的网站 : 查看数据手册中任意一页下边角处的文献编号即可确定其版本 文献编号中数字串后的字母是版本号, 例如 :DS30000A 是 DS30000 的 A 版本 勘误表 现有器件可能带有一份勘误表, 描述了实际运行与数据手册中记载内容之间存在的细微差异以及建议的变通方法 一旦我们了解到器件 / 文档存在某些差异时, 就会发布勘误表 勘误表上将注明其所适用的硅片版本和文件版本 欲了解某一器件是否存在勘误表, 请通过以下方式之一查询 : Microchip 网站 当地 Microchip 销售办事处 ( 见最后一页 ) 在联络销售办事处时, 请说明您所使用的器件型号 硅片版本和数据手册版本 ( 包括文献编号 ) 客户通知系统欲及时获知 Microchip 产品的最新信息, 请到我公司网站 上注册 2006 Microchip Technology Inc. DS41213C_CN 第 3 页

6 注 : DS41213C_CN 第 4 页 2006 Microchip Technology Inc.

7 1.0 一般说明 Microchip Technology 的 PIC16F5X 是一系列低成本 高性能 8 位 全静态和基于闪存的 CMOS 单片机 它采用的 RISC 架构仅有 33 条单字 / 单周期指令 除需要两个周期的程序跳转指令之外, 所有指令都是单周期指令 PIC16F5X 的性能大大高于同等价位的其他产品 12 位宽的指令具有高度的对称性, 与同类的 8 位单片机相比, 其代码压缩了两倍 易于使用和记忆的指令集, 大大缩短了开发时间 PIC16F5X 产品装备的特殊功能降低了系统成本和所需功耗 上电复位 (POR) 和器件复位定时器 (DRT) 使其不再需要外部复位电路 有四种振荡器配置可供选择, 包括节省功耗的 LP ( 低功耗 ) 振荡器和节省成本的 RC 振荡器 节省功耗的休眠模式 看门狗定时器和代码保护功能会增加系统的成本和功耗, 但会提高系统的可靠性 1.1 应用 PIC16F5X 系列适合从高速汽车和家用电机控制设备到低功耗远程收发器 定位设备和电信处理器等各种应用 闪存技术使定制应用程序 ( 发送器代码 电机速度和接收器频率等 ) 变得非常迅速和方便 小型封装适用于过孔或表面贴装, 使本单片机系列能适应各种空间有限的应用 低成本 低功耗 高性能 易于使用和 I/O 灵活性使 PIC16F5X 系列适用于各种应用 即使在以前认为不能使用单片机的地方, 也可以使用 ( 如定时器功能 替换较大系统中的 胶 (Glue) 逻辑和协处理器应用 ) 支持 PIC16F5X 器件的开发工具有 : 全功能的宏汇编器 软件模拟器 低成本开发编程器和全功能编程器 IBM PC 和兼容机都能支持这些工具 表 11: PIC16F5X 系列器件 特性 PIC16F54 PIC16F57 PIC16F59 最高工作频率 20 MHz 20 MHz 20 MHz 闪存存储器 (x12 字 ) 512 2K 2K RAM 数据存储器 ( 字节 ) 定时器模块 TMR0 TMR0 TMR0 I/O 引脚 指令数 封装 18 引脚 PDIP 和 SOIC ; 20 引脚 SSOP 28 引脚 PDIP 和 SOIC ; 28 引脚 SSOP 40 引脚 DIP 44 引脚 TQFP 注 : 所有 PICmicro 系列器件都有上电复位 可选看门狗定时器 可选代码保护和高 I/O 电流能力 2006 Microchip Technology Inc. DS41213C_CN 第 5 页

8 注 : DS41213C_CN 第 6 页 2006 Microchip Technology Inc.

9 2.0 架构综述 PIC16F5X 系列的高性能可以归功于 RISC 单片机中普遍采用的许多架构特点 首先,PIC16F5X 采用了哈佛架构, 在这种架构中, 分别使用独立的总线访问程序和数据存储器 与传统的程序和数据存储器合二为一的冯 诺依曼架构相比, 哈佛架构具有更加优良的总线带宽 独立的程序和数据存储器使得指令宽度不是 8 位 由于指令操作码为 12 位宽, 所以所有指令都可以是单字指令 通过 12 位宽的程序存储器总线可以在单周期内取一条 12 位的指令 两级流水线可以使取指和执指同时进行因此, 除了程序跳转指令以外, 所有指令 (33 条 ) 都可以在单周期内执行 PIC16F54 可寻址 512 x 12 的程序存储空间,PIC16F57 和 PIC16F59 可寻址 2048 x 12 的程序存储空间 所有程序存储器都是内置的 PIC16F5X 能直接或间接寻址其文件寄存器或数据存储器 所有特殊功能寄存器 (Special Function Register, SFR), 包括程序计数器, 都映射到数据存储空间 PIC16F5X 有一个高度正交 ( 对称 ) 的指令集, 因此它可以使用任何寻址模式对任一寄存器执行任何操作 指令集的对称特性以及无 特别理想状态 让使用 PIC16F5X 编程更简单有效 此外, 学习曲线也得以显著缩短 PIC16F5X 器件包含一个 8 位 ALU 和工作寄存器 ALU 是一个通用算术单元 它对工作寄存器和文件寄存器中的数据进行算术和布尔运算 ALU 为 8 位宽, 能够进行加 减 移位和逻辑操作 除非特别指明, 否则算术运算一般是以 2 的补码 (Two's Complement) 的形式进行的 在两个操作数的指令中, 典型情况下, 其中的一个操作数是在 W( 工作 ) 寄存器中 另一个操作数放在一个数据寄存器中或是一个立即数 在单操作数指令中, 操作数放在 W 寄存器中或某个数据寄存器中 W 寄存器是一个 8 位宽 用于 ALU 运算的工作寄存器 该寄存器不可寻址 根据所执行的指令,ALU 可以影响状态 (Status) 寄存器中的进位标志位 C 半进位标志位 DC 和全零标志位 Z 在减法运算中, C 和 DC 位分别作为借位和半借位标志位 例如指令 SUBWF 和 ADDWF 图 21 给出了简化框图, 表 21 (PIC16F54) 表 22 (PIC16F57) 和表 23(PIC16F59) 给出了相应器件的引脚说明 2006 Microchip Technology Inc. DS41213C_CN 第 7 页

10 图 21: PIC16F5X 系列框图 立即数 闪存 512 X 12(F54) 2048 X 12(F57) 2048 x 12(F59) 指令寄存器 指令译码器 8 W PC 直接寻址 状态寄存器 ALU 来自 W 堆栈 1 堆栈 2 WDT 超时 直接 RAM 地址 4 TMR0 4 T0CKI 引脚 看门狗定时器 WDT/TMR0 预分频器 数据总线 8 禁止 6 配置字 代码保护 选项寄存器 选项 来自 W 来自 W 8 CLKOUT 5 8 SFR 振荡器选择 2 57 OSC1 OSC2 MCLR 振荡器 / 定时和控制 休眠 通用文件寄存器 (SRAM) 或 134 字节 来自 W TRISA PORTA TRISB PORTB TRISC PORTC TRIS 5 TRIS 6 TRIS 7 RA<3:0> RB<7:0> RC<7:0> 仅 PIC16F57/59 来自 W 4 8 来自 W 8 8 TRISE PORTE TRISD PORTD TRIS 9 RE<7:4> 仅 PIC16F59 4 TRIS 8 RD<7:0> 仅 PIC16F59 8 DS41213C_CN 第 8 页 2006 Microchip Technology Inc.

11 表 21: PIC16F54 引脚排列说明 名称 功能 输入类型 输出类型 说明 RA0 RA0 TTL CMOS 双向 I/O 引脚 RA1 RA1 TTL CMOS 双向 I/O 引脚 RA2 RA2 TTL CMOS 双向 I/O 引脚 RA3 RA3 TTL CMOS 双向 I/O 引脚 RB0 RB0 TTL CMOS 双向 I/O 引脚 RB1 RB1 TTL CMOS 双向 I/O 引脚 RB2 RB2 TTL CMOS 双向 I/O 引脚 RB3 RB3 TTL CMOS 双向 I/O 引脚 RB4 RB4 TTL CMOS 双向 I/O 引脚 RB5 RB5 TTL CMOS 双向 I/O 引脚 RB6/ICSPCLK RB6 TTL CMOS 双向 I/O 引脚 ICSPCLK ST 串行编程时钟 RB7/ICSPDAT RB7 TTL CMOS 双向 I/O 引脚 ICSPDAT ST CMOS 串行编程 I/O T0CKI T0CKI ST Timer0 时钟输入 必须连到 VSS 或 VDD, 在不使用时可以降低电流消耗 MCLR/VPP MCLR ST 低电平有效器件复位 MCLR/VPP 引脚上的电压不能超过 VDD, 以避免意外进入编程模式 VPP HV 编程电压输入 OSC1/CLKIN OSC1 XTAL 振荡器晶振输入 CLKIN ST 外部时钟源输入 OSC2/CLKOUT OSC2 XTAL 振荡器晶振输出 在晶振模式连接到晶体或谐振器 CLKOUT CMOS 在 RC 模式, OSC2 引脚可以输出 CLKOUT, 其频率为 OSC1 的 1/4 VDD VDD 电源 逻辑电路和 I/O 引脚的正向电源 VSS VSS 电源 逻辑电路和 I/O 引脚的接地参考点 图注 : I = 输入 I/O = 输入 / 输出 CMOS = CMOS 输出 O = 输出 = 未用 XTAL = 晶体输入 / 输出 ST = 施密特触发器输入 TTL = TTL 输入 HV = 高电压 2006 Microchip Technology Inc. DS41213C_CN 第 9 页

12 表 22: PIC16F57 引脚排列说明 名称 功能 输入类型 输出类型 说明 RA0 RA0 TTL CMOS 双向 I/O 引脚 RA1 RA1 TTL CMOS 双向 I/O 引脚 RA2 RA2 TTL CMOS 双向 I/O 引脚 RA3 RA3 TTL CMOS 双向 I/O 引脚 RB0 RB0 TTL CMOS 双向 I/O 引脚 RB1 RB1 TTL CMOS 双向 I/O 引脚 RB2 RB2 TTL CMOS 双向 I/O 引脚 RB3 RB3 TTL CMOS 双向 I/O 引脚 RB4 RB4 TTL CMOS 双向 I/O 引脚 RB5 RB5 TTL CMOS 双向 I/O 引脚 RB6/ICSPCLK RB6 TTL CMOS 双向 I/O 引脚 ICSPCLK ST 串行编程时钟 RB7/ICSPDAT RB7 TTL CMOS 双向 I/O 引脚 ICSPDAT ST CMOS 串行编程 I/O RC0 RC0 TTL CMOS 双向 I/O 引脚 RC1 RC1 TTL CMOS 双向 I/O 引脚 RC2 RC2 TTL CMOS 双向 I/O 引脚 RC3 RC3 TTL CMOS 双向 I/O 引脚 RC4 RC4 TTL CMOS 双向 I/O 引脚 RC5 RC5 TTL CMOS 双向 I/O 引脚 RC6 RC6 TTL CMOS 双向 I/O 引脚 RC7 RC7 TTL CMOS 双向 I/O 引脚 T0CKI T0CKI ST Timer0 时钟输入 必须连到 VSS 或 VDD, 在不使用时可以降低电流消耗 MCLR/VPP MCLR ST 低电平有效器件复位 MCLR/VPP 引脚上的电压不能超过 VDD, 以避免意外进入编程模式 VPP HV 编程电压输入 OSC1/CLKIN OSC1 XTAL 振荡器晶振输入 CLKIN ST 外部时钟源输入 OSC2/CLKOUT OSC2 XTAL 振荡器晶振输出 在晶振模式连接到晶体或谐振器 CLKOUT CMOS 在 RC 模式, OSC2 引脚可以输出 CLKOUT, 其频率为 OSC1 的 1/4 VDD VDD 电源 逻辑电路和 I/O 引脚的正向电源 VSS VSS 电源 逻辑电路和 I/O 引脚的接地参考点 N/C N/C 未用, 不连接 图注 : I = 输入 I/O = 输入 / 输出 CMOS = CMOS 输出 O = 输出 = 未用 XTAL = 晶体输入 / 输出 ST = 施密特触发器输入 TTL = TTL 输入 HV = 高电压 DS41213C_CN 第 10 页 2006 Microchip Technology Inc.

13 表 23: 名称 PIC16F59 引脚排列说明 功能 输入类型 输出类型 RA0 RA0 TTL CMOS 双向 I/O 引脚 RA1 RA1 TTL CMOS 双向 I/O 引脚 RA2 RA2 TTL CMOS 双向 I/O 引脚 RA3 RA3 TTL CMOS 双向 I/O 引脚 RB0 RB0 TTL CMOS 双向 I/O 引脚 RB1 RB1 TTL CMOS 双向 I/O 引脚 RB2 RB2 TTL CMOS 双向 I/O 引脚 RB3 RB3 TTL CMOS 双向 I/O 引脚 RB4 RB4 TTL CMOS 双向 I/O 引脚 RB5 RB5 TTL CMOS 双向 I/O 引脚 RB6/ICSPCLK RB6 TTL CMOS 双向 I/O 引脚 ICSPCLK ST 串行编程时钟 RB7/ICSPDAT RB7 TTL CMOS 双向 I/O 引脚 ICSPDAT ST CMOS 串行编程 I/O RC0 RC0 TTL CMOS 双向 I/O 引脚 RC1 RC1 TTL CMOS 双向 I/O 引脚 RC2 RC2 TTL CMOS 双向 I/O 引脚 RC3 RC3 TTL CMOS 双向 I/O 引脚 RC4 RC4 TTL CMOS 双向 I/O 引脚 RC5 RC5 TTL CMOS 双向 I/O 引脚 RC6 RC6 TTL CMOS 双向 I/O 引脚 RC7 RC7 TTL CMOS 双向 I/O 引脚 RD0 RD0 TTL CMOS 双向 I/O 引脚 RD1 RD1 TTL CMOS 双向 I/O 引脚 RD2 RD2 TTL CMOS 双向 I/O 引脚 RD3 RD3 TTL CMOS 双向 I/O 引脚 RD4 RD4 TTL CMOS 双向 I/O 引脚 RD5 RD5 TTL CMOS 双向 I/O 引脚 RD6 RD6 TTL CMOS 双向 I/O 引脚 RD7 RD7 TTL CMOS 双向 I/O 引脚 RE4 RE4 TTL CMOS 双向 I/O 引脚 RE5 RE5 TTL CMOS 双向 I/O 引脚 RE6 RE6 TTL CMOS 双向 I/O 引脚 RE7 RE7 TTL CMOS 双向 I/O 引脚 T0CKI T0CKI ST Timer0 时钟输入 必须连到 VSS 或 VDD, 在不使用时可以降低电流消耗 MCLR/VPP MCLR ST 低电平有效器件复位 MCLR/VPP 引脚上的电压不能超过 VDD, 以避免意外进入编程模式 VPP HV 编程电压输入 OSC1/CLKIN OSC1 XTAL 振荡器晶振输入 CLKIN ST 外部时钟源输入 OSC2/CLKOUT OSC2 XTAL 振荡器晶振输出 在晶振模式连接到晶体或谐振器 CLKOUT CMOS 在 RC 模式, OSC2 引脚可以输出 CLKOUT, 其频率为 OSC1 的 1/4 VDD VDD 电源 逻辑电路和 I/O 引脚的正向电源 VSS VSS 电源 逻辑电路和 I/O 引脚的接地参考点 图注 : I = 输入 I/O = 输入 / 输出 CMOS = CMOS 输出 O = 输出 = 未用 XTAL = 晶体输入 / 输出 ST = 施密特触发器输入 TTL = TTL 输入 HV = 高电压 说明 2006 Microchip Technology Inc. DS41213C_CN 第 11 页

14 2.1 时钟机制 / 指令周期 时钟输入 (OSC1/CLKIN 引脚 ) 信号在器件内部经过 4 分频后产生 4 个不重叠的正交时钟信号, 即 Q1 Q2 Q3 和 Q4 在此过程中, 程序计数器 (PC) 在每个 Q1 时加 1, 并在 Q4 时从程序存储器取指以及将指令锁存到指令寄存器中 指令的译码和执行在下一个 Q1 到 Q4 中完成 图 22 和例 21 给出了时钟和指令执行的流程图 2.2 指令流 / 流水线 一个指令周期由 4 个 Q 周期组成 (Q1 Q2 Q3 和 Q4) 取指和指令执行是流水线操作的, 用一个指令周期来取指, 而用另一个指令周期来译码和执行取到的指令 但由于是流水线操作, 所以每条指令的等效执行时间都是一个指令周期 如果某条指令改变了程序计数器 ( 如 GOTO 指令 ), 则需要两个指令周期才能完成该指令 ( 见例 21) 在 Q1 周期开始取指操作, 程序计数器 (PC) 加 1 指令的执行过程 : 在 Q1 周期, 将所取指令锁存到指令寄存器 然后在 Q2 Q3 和 Q4 周期中进行指令的译码和执行 其中读数据存储器 ( 读操作数 ) 发生在 Q2 周期, 写操作发生在 Q4 周期 ( 写目标单元 ) 图 22: 时钟 / 指令周期 OSC1 Q1 Q2 Q3 Q4 PC OSC2/CLKOUT (RC 模式 ) Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC PC+1 PC+2 取指 (PC) 执行指令 (PC1) 取指 (PC + 1) 执行指令 (PC) 取指 (PC + 2) 执行指令 (PC+1) 内部相位时钟 例 21: 指令流水线流程图 1. MOVLW H'55' 取指 1 执行 1 2. MOVWF PORTB 取指 2 执行 2 3. CALL SUB_1 取指 3 执行 3 4. BSF PORTA, BIT3 取指 4 作废 取指 SUB_1 执行 SUB_1 除程序跳转指令之外, 所有指令都是单周期指令 由于程序跳转指令导致流水线中已取的指令作废, 需要重新取指再执行指令, 所以程序跳转指令需要两个周期 DS41213C_CN 第 12 页 2006 Microchip Technology Inc.

15 3.0 存储器的构成 PIC16F5X 存储器分为程序存储器和数据存储器 PIC16F57 和 PIC16F59 具有大于 512 个字的程序存储器, 并使用了分页机制 可使用状态寄存器中的一个或两个位来访问程序存储器页 PIC16F57 和 PIC16F59 具有大于 32 个寄存器的数据存储寄存器文件, 并使用了分区机制 可使用文件选择寄存器 (File Selection Register, FSR) 来访问数据存储器分区 3.1 程序存储器的构成 PIC16F54 具有 9 位程序计数器 (Program Counter, PC), 可以对 512 x 12 程序存储空间进行寻址 ( 图 31) PIC16F57 和 PIC16F59 具有 11 位程序计数器, 可以对 2K x 12 程序存储空间进行寻址 ( 图 32) 访问物理实现的地址之外的单元会导致循环返回到有效地址空间 复位向量单元处的 NOP 指令会导致重新从单元 000h 开始执行指令 PIC16F54 的复位向量地址为 1FFh PIC16F57 和 PIC16F59 的复位向量地址为 7FFh 欲知更多有关使用 CALL 和 GOTO 指令的信息, 请参见第 3.5 节 程序计数器 图 31: CALL, RETLW PIC16F54 程序存储器映射和堆栈 PC<8:0> 堆栈深度 1 堆栈深度 2 9 图 32: CALL, RETLW 用户存储空间 PIC16F57/PIC16F59 程序存储器映射和堆栈 PC<10:0> 堆栈深度 1 堆栈深度 2 片上程序存储器 ( 第 0 页 ) 片上程序存储器 ( 第 1 页 ) 片上程序存储器 ( 第 2 页 ) 片上程序存储器 ( 第 3 页 ) 复位向量 h 0FFh 100h 1FFh 200h 2FFh 300h 3FFh 400h 4FFh 500h 5FFh 600h 6FFh 700h 7FFh 000h 用户存储空间 片上程序存储器 0FFh 100h 复位向量 1FFh 2006 Microchip Technology Inc. DS41213C_CN 第 13 页

16 3.2 数据存储器的构成 数据存储器由寄存器 ( 即 RAM 字节 ) 组成 因此, 器件的数据存储器由它的文件寄存器指定 文件寄存器被分为两个功能组 : 特殊功能寄存器 (SFR) 和通用寄存器 (General Purpose Registers, GPR) 特殊功能寄存器包括 TMR0 寄存器 程序计数器 (PC) 状态寄存器 I/O 寄存器 ( 端口 ) 和文件选择寄存器 (FSR) 此外, 特殊功能寄存器也用于控制 I/O 端口配置和预分频比选择 通用寄存器用于存储指令执行所需的数据和控制信息 对于 PIC16F54 来说, 文件寄存器由 7 个特殊功能寄存器和 25 个通用寄存器组成 ( 图 33) 对于 PIC16F57 来说, 文件寄存器由 8 个特殊功能寄存器组成 8 个通用寄存器和 64 个额外的通用寄存器组成, 可以采用分区机制对它们进行寻址 ( 图 34) 对于 PIC16F59 来说, 文件寄存器由 10 个特殊功能寄存器 6 个通用寄存器和 128 个额外的寄存器组成, 可以使用分区机制对它们进行寻址 ( 图 35) 通用文件寄存器 可以直接访问此文件寄存器也可以通过文件选择寄存器 (FSR) 间接访问该寄存器 第 3.7 节 间接数据寻址 ; INDF 和 FSR 寄存器 中说明了 FSR 寄存器 图 33: PIC16F54 文件寄存器映射图寄存器地址 00h 01h 02h 03h 04h 05h 06h 07h INDF (1) TMR0 PCL 状态寄存器 FSR PORTA PORTB 通用寄存器 注 1Fh 1: 不是物理寄存器 请参见第 3.7 节 间接数据寻址 ; INDF 和 FSR 寄存器 图 34: 寄存器地址 00h 01h 02h 03h 04h 05h 06h 07h 08h PIC16F57 文件寄存器映射图 FSR<6:5> Fh 10h INDF (1) TMR0 PCL 状态寄存器 FSR PORTA PORTB PORTC 通用寄存器 通用寄存器 20h 2Fh 30h 通用寄存器 40h 4Fh 50h 60h 地址映射返回到 Bank 0 的地址 通用寄存器 6Fh 70h 通用寄存器 1Fh 3Fh 5Fh 7Fh Bank 0 Bank 1 Bank 2 Bank 3 注 1: 不是物理寄存器 请参见第 3.7 节 间接数据寻址 ; INDF 和 FSR 寄存器 DS41213C_CN 第 14 页 2006 Microchip Technology Inc.

17 图 35: PIC16F59 文件寄存器映射图 FSR<7:5> 寄存器地址 00h INDF (1) 01h TMR0 02h PCL 03h 状态寄存器 04h FSR 05h PORTA 06h PORTB 07h PORTC 08h PORTD 09h PORTE 0Ah 通用寄存器 0Fh 10h 通用寄存器 20h 2Fh 30h 通用寄存器 40h 4Fh 50h 通用寄存器 60h 6Fh 70h 通用寄存器 80h A0h 地址映射返回到 Bank 0 的地址 8Fh 90h 通用寄存器 AFh B0h 通用寄存器 C0h CFh D0h 通用寄存器 E0h EFh F0h 通用寄存器 1Fh 3Fh 5Fh 7Fh Bank 0 Bank 1 Bank 2 Bank 3 9Fh BFh DFh FFh Bank 4 Bank 5 Bank 6 Bank 7 注 1: 不是物理寄存器 2006 Microchip Technology Inc. DS41213C_CN 第 15 页

18 3.2.2 特殊功能寄存器 特殊功能寄存器 (SFR) 是 CPU 和外设功能部件用来控制器件操作的寄存器 ( 表 31) 特殊功能寄存器可以被分成两组 本章仅讲述与 内核 有关的特殊功能寄存器 那些与外设功能部件的操作有关的特殊功能寄存器将在相应的外设功能部件章节中讲述 表 31: 特殊功能寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 详情请见 ( 页 ) N/A TRIS I/O 控制寄存器 (TRISA TRISB TRISC TRISD TRISE) N/A OPTION 包含配置 Timer0 和 Timer0/WDT 预分频器的控制位 h INDF 使用 FSR 的内容来寻址数据存储器 ( 不是物理寄存器 ) xxxx xxxx 20 01h TMR0 Timer0 模块寄存器 xxxx xxxx 34 02h PCL (1) PC 的低 8 位 h 状态寄存器 PA2 PA1 PA0 TO PD Z DC C xxx 17 04h FSR (3) 间接数据存储器地址指针 111x xxxx 20 04h FSR (4) 间接数据存储器地址指针 1xxx xxxx 20 04h FSR (5) 间接数据存储器地址指针 xxxx xxxx 20 05h PORTA (6) RA3 RA2 RA1 RA0 xxxx 29 06h PORTB RB7 RB6 RB5 RB4 RB3 RB2 RB1 RB0 xxxx xxxx 29 07h PORTC (2) RC7 RC6 RC5 RC4 RC3 RC2 RC1 RC0 xxxx xxxx 29 08h PORTD (7) RD7 RD6 RD5 RD4 RD3 RD2 RD1 RD0 xxxx xxxx 29 09h PORTE (6), (7) RE7 RE6 RE5 RE4 xxxx 29 图注 : 阴影单元 = 未实现或未用, = 未用, 读为 0 ( 如果存在 ), x = 未知, u = 不变 注 1: 程序计数器的高字节不可直接访问 欲知如何访问这些位, 请参见第 3.5 节 程序计数器 2: 文件地址 07h 是 PIC16F54 上的通用寄存器 3: 仅 PIC16F54 才有的寄存器 4: 仅 PIC16F57 才有的寄存器 5: 仅 PIC16F59 才有的寄存器 6: 未用位, 读为 0 7: 寄存器地址 08h 和 09h 是 PIC16F54 和 PIC16F57 上的通用寄存器 DS41213C_CN 第 16 页 2006 Microchip Technology Inc.

19 3.3 状态寄存器 此寄存器包含 ALU 的算术状态, 大于 512 个字的程序存储器的复位状态和页面预选择位 状态寄存器和任何其他寄存器一样, 可以作为任何指令的目标寄存器 如果一条影响 Z DC 或 C 位的指令的目标寄存器是状态寄存器, 则会禁止对这 3 位进行写操作 根据器件逻辑, 这些位会被置 1 或清零 而且,TO 和 PD 位是不可写的 所以当执行一条把状态寄存器作为目标寄存器的指令后, 状态寄存器的结果可能和预想的不一样 例如, CLRF Status 将把高三位清零并将 Z 位置 1 这将把状态寄存器变为 000u u1uu( 其中 u = 不变 ) 因此, 建议仅使用 BCF BSF MOVWF 和 SWAPF 指令来改变状态寄存器, 因为这些指令不会影响状态存器中的 Z DC 或 C 位 欲知其他影响状态位的指令, 请参见第 9.0 节 指令集综述 寄存器 31: 状态寄存器 ( 地址 :03h) R/W0 R/W0 R/W0 R1 R1 R/Wx R/Wx R/Wx PA2 PA1 PA0 TO PD Z DC C bit 7 bit 0 bit 7 bit 65 bit 4 bit 3 PA2: 保留, 不使用不建议使用 PA2 位作为通用读写位, 因为这可能会对与以后产品的向上兼容造成影响 PA<1:0>: 程序页预选择位 (PIC16F57/PIC16F59) 00 = 第 0 页 (000h1FFh) 01 = 第 1 页 (200h3FFh) 10 = 第 2 页 (400h5FFh) 11 = 第 3 页 (600h7FFh) 每页为 512 个字 建议不要在不使用 PA<1:0> 位进行程序页预选择的器件中使用这些位作为通用读写位 这可能会影响到与以后产品的向上兼容性 TO: 超时位 1 = 上电或执行了 CLRWDT 或 SLEEP 指令 0 = 发生了 WDT 超时 PD: 掉电位 1 = 上电或执行了 CLRWDT 指令 0 = 执行了 SLEEP 指令 bit 2 Z: 全零位 1 = 算术运算或逻辑运算结果为零 0 = 算术运算或逻辑运算结果不为零 bit 1 DC: 半进位 / 借位位 (ADDWF 和 SUBWF 指令 ) ADDWF 1 = 结果的第 4 个低位向高位发生了进位 0 = 结果的第 4 个低位未向高位发生进位 SUBWF 1 = 结果的第 4 个低位未向高位发生借位 0 = 结果的第 4 个低位向高位发生了借位 bit 0 C: 进位 / 借位位 ( 对于 ADDWF 和 SUBWF 以及 RRF 和 RLF 指令 ) ADDWF SUBWF RRF 或 RLF 1 = 发生进位 1 = 未发生借位 分别装载最低位或最高位 0 = 未发生进位 0 = 发生借位 图注 : R = 可读位 W = 可写位 U = 未用位, 读为 0 n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 2006 Microchip Technology Inc. DS41213C_CN 第 17 页

20 3.4 选项寄存器 选项 (Option) 寄存器是 6 位宽, 只可写的寄存器, 它包含各种用于配置 Timer0/WDT 预分频器和 Timer0 的控制位 通过执行 OPTION 指令可将 W 寄存器的内容传送到选项寄存器 复位将把 Option<5:0> 位置 1 寄存器 32: 选项寄存器 U0 U0 W1 W1 W1 W1 W1 W1 T0CS T0SE PSA PS2 PS1 PS0 bit 7 bit 0 bit 76 未用 : 读为 0 bit 5 T0CS:Timer0 时钟源选择位 1 = T0CKI 引脚上的跳变信号作为时钟源 0 = 内部指令周期时钟 (CLKOUT) 作为时钟源 bit 4 T0SE:Timer0 时钟源边沿选择位 1 = 在 T0CKI 引脚电平的下降沿递增 0 = 在 T0CKI 引脚电平的上升沿递增 bit 3 PSA: 预分频器分配位 1 = 预分频器分配给 WDT 0 = 预分频器分配给 Timer0 bit 20 PS<2:0>: 预分频比选择位 位值 Timer0 预分频比 WDT 预分频比 : 2 1 : 4 1 : 8 1 : 16 1 : 32 1 : 64 1 : : : 1 1 : 2 1 : 4 1 : 8 1 : 16 1 : 32 1 : 64 1 : 128 图注 : R = 可读位 W = 可写位 U = 未用位, 读为 0 n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 DS41213C_CN 第 18 页 2006 Microchip Technology Inc.

21 3.5 程序计数器 在指令被执行后, 程序计数器 (PC) 将包含将要执行的下一条指令的地址 PC 值在每个指令周期加一, 除非该指令更改了 PC 值 对于 GOTO 指令来说,GOTO 指令字将提供 PC 的 bit 8:0 PC 锁存器 (PC Latch, PCL) 将被映射到 PC<7:0> ( 图 36 和图 37) 对于 PIC16F57 和 PIC16F59, 还必须提供页号 状态寄存器的 bit 5 和 bit 6 将页面信息提供到 PC 的 bit 9 和 bit 10 ( 图 36 和图 37) 对于 CALL 指令, 或者任何以 PCL 作为目标寄存器的指令,PC <7:0> 也由指令字提供, 但 PC<8> 不来自指令字, 它始终被清零 ( 图 36 和图 37) 以 PCL 作为目标寄存器或者修改 PCL 的指令包括 MOVWF PCL ADDWF PCL 和 BSF PCL,5 对于 PIC16F57 和 PIC16F59, 还必须提供页号 状态寄存器的 bit 5 和 bit 6 将页面信息提供到 PC 的 bit 9 和 bit 10 ( 图 36 和图 37) 注 : 图 36: GOTO 指令 PC 因为 CALL 指令或其他修改 PCL 指令会使 PC<8> 清零, 所以所有子程序调用或相对跳转都被限制在任何程序存储器页 (521 个字长 ) 的前 256 个单元内 PC 装载 PC 的跳转指令 (PIC16F54 ) PCL CALL 或修改 PCL 的指令 指令字 PCL 图 37: GOTO 指令 PC PC 10 装载 PC 的跳转指令 (PIC16F57 和 PIC16F59 ) 2 PA<1:0> 状态寄存器 CALL 或修改 PCL 的指令 PCL PCL 复位为 0 2 PA<1:0> 7 0 状态寄存器 指令字 指令字 PIC16F57 和 PIC16F59 分页注意事项 如果 PC 指向选定存储页的最后一个地址, 当它加 1 后, 将导致程序在下一个编号更高的页中继续运行 但是, 状态寄存器中的页面选择位不会更新 因此, 下一个 GOTO CALL 或修改 PCL 的指令将使程序跳转到页面预选择位 (PA0 或 PA<1:0>) 所指定的页面 例如, 1FFh ( 第 0 页 ) 单元的 NOP 指令将把 PC 值增加到 200h( 第 1 页 ) 200h 单元的 GOTO xxx 指令将使程序返回到第 0 页上的地址单元 xxh 处 ( 假设 PA<1:0> 被清零 ) 要阻止这种情况发生, 必须在程序控制下更新页面预选择位 复位为 0 指令字 复位的影响 在复位时 PC 被置为全 1, 这意味着 PC 将寻址最后一页中的最后一个单元 ( 即复位向量 ) 状态寄存器页面预选择位在复位时被清零, 这意味着预选择了第 0 页 因此, 在复位时, 复位向量单元上的 GOTO 指令将自动导致程序跳转到第 0 页 2006 Microchip Technology Inc. DS41213C_CN 第 19 页

22 3.6 堆栈 例 31: 间接寻址 PIC16F54 器件是 9 位宽, 2 级深的硬件压入 / 弹出堆栈 PIC16F57 和 PIC16F59 为 11 位宽,2 级深的硬件压入 / 弹出堆栈 CALL 指令将把堆栈 1 的当前值压入第 2 级堆栈, 然后将当前程序计数器的值加 1, 并将其压入第 1 级堆栈, 如果连续执行了两个以上的 CALL 指令, 则将仅储存最后两次的返回地址 RETLW 指令将第 1 级堆栈中的内容弹出到程序计数器, 然后将第 2 级堆栈中的内容复制到第 1 级堆栈中 如果连续执行了两个以上的 RETLW 指令, 则将用原来存储在第 2 级堆栈中的地址来填充堆栈 注 : 将指令中指定的立即数值装入 W 寄存器 这对于在程序存储器中实现数据查找表非常有用 对于 RETLW 指令, 将把栈顶 (TopofStack,TOS) 的内容装入 PC 此数据手册中涉及到的所有器件都有一个 2 级深的堆栈 堆栈与器件 PC 同宽, 因此在从子程序返回时, 不考虑页面选择 3.7 间接数据寻址 ; INDF 和 FSR 寄存器 INDF 寄存器不是物理寄存器 寻址 INDF 实际上是寻址地址包含在 FSR 寄存器中的寄存器 (FSR 是一个指针 ) 这是间接寻址 地址为 08h 的寄存器包含值 10h 地址为 09h 的寄存器包含值 0Ah 将值 08h 载入 FSR 寄存器 读 INDF 寄存器将返回值 10h FSR 寄存器的值加 1 (FSR = 09h) 读 INDF 寄存器将返回值 0Ah 间接读 INDF 本身 (FSR = 0) 将返回 00h 间接写 INDF 寄存器将导致不执行任何操作 ( 虽然状态寄存器中的几位将受到影响 ) 使用间接寻址清零 RAM 单元 10h1Fh 的简单程序如例 32 所示 例 32: 使用间接寻址清零 RAM 的方法 MOVLW H'10' ;initialize pointer MOVWF FSR ;to RAM NEXT CLRF INDF ;clear INDF Register INCF FSR,F ;inc pointer BTFSC FSR,4 ;all done? GOTO NEXT ;NO, clear next CONTINUE : ;YES, continue FSR 是 5 位 (PIC16F54) 7 位 (PIC16F57) 或 8 位 (PIC16F59) 宽的寄存器 它和 INDF 寄存器配合使用以便间接寻址数据存储区 FSR<4:0> 位用于选择数据存储器地址 00h 到 1Fh PIC16F54: 不使用分区 FSR<7:5> 位未用并读为 1 PIC16F57:FSR<7> 位未用并读为 1 FSR<6:5> 是存储区选择位, 并用于选择将被寻址的存储区 (00 = Bank 0,01 = Bank 1,10 = Bank 2,11 = Bank 3) PIC16F59: FSR<7:5> 为存储区选择位并用于选择将要寻址的存储区 (000 = Bank = Bank = Bank = Bank = Bank = Bank = Bank = Bank 7) 注 : 如果 FSR 中有未用位,CLRF FSR 指令就不会使 FSR 的值为 00h DS41213C_CN 第 20 页 2006 Microchip Technology Inc.

23 4.0 振荡器配置 4.1 振荡器类型 PIC16F5X 器件可以在四种不同的振荡模式下工作 用户可以对两个配置位 (FOSC1:FOSC0) 进行编程来在 4 种模式中进行选择 : LP: 低功耗晶振模式 XT: 晶振 / 谐振器模式 HS: 高速晶振 / 谐振器模式 RC: 阻 / 容振荡器 4.2 晶振 / 陶瓷谐振器 在 XT LP 或 HS 模式下, 在 OSC1/CLKIN 和 OSC2/ CLKOUT 引脚间连有一个晶振或陶瓷谐振器以建立振荡 ( 图 41) PIC16F5X 振荡器的设计要求使用平行切割的晶体 使用串行切割的晶体会使振荡频率超出晶体厂商的规定 在 XT LP 或 HS 模式下, 器件可以使用外部时钟源来驱动 OSC1/CLKIN 引脚 ( 图 42) 图 41: 注 图 42: C1 (1) C2 (1) 晶振 / 陶瓷谐振器工作原理 (HS XT 或 LP 振荡器配置 ) XTAL OSC1 OSC2 RS (2) RF (3) PIC16F5X 休眠 到内部逻辑电路 1: 欲知 C1 和 C2 的推荐值, 请参见比较器选择表 2: 需要串联电阻 (RS) 3: 不同振荡模式的 RF 也各不相同 ( 约为 10 MΩ) 来自外部系统的时钟 开路 外部时钟输入工作原理 (HS XT 或 LP 振荡器配置 ) OSC1 PIC16F5X OSC2 表 41: 振荡器类型 XT HS 表 42: 谐振频率 455 khz 2.0 MHz 4.0 MHz 8.0 MHz 16.0 MHz 陶瓷谐振器的电容选择 电容范围 C pf 1533 pf 1022 pf 1022 pf 10 pf 晶体振荡器的电容选择 电容范围 C pf 1533 pf 1022 pf 1022 pf 10 pf 上述值仅供设计参考 因为每种谐振器都有其自身特性, 用户应当向谐振器制造厂商咨询外围元件的相应值 振荡类型 晶振频率 电容范围 C1 电容范围 C2 LP 32 khz (1) 15 pf 15 pf XT 100 khz 200 khz 455 khz 1 MHz 2 MHz 4 MHz 1530 pf 1530 pf 1530 pf 1530 pf 15 pf 15 pf pf pf pf 1530 pf 15 pf 15 pf HS 4 MHz 8 MHz 20 MHz 15 pf 15 pf 15 pf 15 pf 15 pf 15 pf 注 1: 如果 VDD > 4.5V, 建议 C1 = C2 30 pf 上述值仅供设计参考 为了避免对具有低驱动电平规格的晶振造成过驱动, 和 XT 模式一样, HS 模式中也可能需要使用 Rs 因为每种晶振都有其自身特性, 用户应当向晶振制造厂商咨询外围元件的相应值 注 1: 器件已被设计为按照数据手册上的参数运行 它已经通过电气规范测试, 该规范用于确定器件与这些参数的一致性 由于器件制造时的工艺差异, 此器件和它的早期版本之间可能会有一些运行特性方面的差异 这些差异可能会导致此器件与它的早期版本在应用中存在性能差异 2: 用户应该验证器件振荡器是否按照预期的方式起振和运行 可能需要调整负载电容值和 / 或振荡模式 2006 Microchip Technology Inc. DS41213C_CN 第 21 页

24 4.3 外部晶振电路 可以使用预封装的振荡器或带有 TTL 门控的简单振荡电路作为外部晶振电路 预封装的振荡器能提供宽的工作范围和更高的可靠性 设计优良的晶体振荡器将提供良好的性能和 TTL 门控 可以使用两种类型的晶振电路 : 并联谐振电路或串联谐振电路 图 43 给出了并联谐振电路的实例 此电路被设计为使用晶振的基频 74AS04 反相器可以实现并联振荡器所需要的 180 相移 4.7 kω 提供了实现稳定所需要的负反馈 10 kω 的电位器将 74AS04 偏置到线性区 在外部振荡器设计中可以使用此电路 图 43: 10k +5V 10k 4.7k 20 pf 外部并联谐振晶振电路 ( 使用 XT HS 或 LP 振荡模式 ) 74AS04 XTAL 20 pf 10k 74AS04 开路 到其他器件 PIC16F5X CLKIN OSC2 图 44: 330 K 74AS µf XTAL 4.4 RC 振荡器 外部串联谐振晶振电路 ( 使用 XT HS 或 LP 振荡模式 ) 330 K 到其他器件 74AS04 74AS04 PIC16F5X 开路 CLKIN OSC2 对于时间精确度要求不是很高的应用, 可选用 RC 振荡器 RC 振荡器的操作和功能取决于可变因素的数量 RC 振荡器的频率随以下几个因素变化 : 供电电压 电阻 (REXT) 和电容 (CEXT) 值 工作温度由于正常制造工艺的变化, 不同器件的振荡频率也各不相同 不同封装类型的引线间电容差异也会影响振荡频率, 特别当 CEXT 值较小时更是如此 用户还需要考虑外部 R 和 C 元件的容差 图 45 显示了 R/C 组合的连接方式 OSC2/CLKOUT 引脚上输出信号的频率为振荡频率的 4 分频, 该信号可用作测试或同步其他逻辑电路 图 44 给出了一个串联谐振电路 此电路被设计为使用晶振的基频 反相器用来实现串联谐振电路所需的 360 相移 330 kω 电阻提供负反馈以便将反相器偏置到线性区 图 45: REXT VDD RC 振荡模式 OSC1 内部时钟 CEXT N PIC16F5X VSS FOSC/4 OSC2/CLKOUT DS41213C_CN 第 22 页 2006 Microchip Technology Inc.

25 5.0 复位 PIC16F5X 器件可以通过以下方式复位 : 上电复位 (POR) 正常工作期间的 MCLR 复位 MCLR 从休眠模式唤醒复位 正常工作期间的 WDT 复位 WDT 从休眠模式唤醒复位表 51 显示了 PCL 和状态寄存器的复位条件 某些寄存器不受任何复位条件的影响 在上电复位时这些寄存器的状态未知, 而在其他复位时它们的状态不变 大部分其他寄存器都会在上电复位 MCLR 或 WDT 复位时复位为各自的 复位状态 MCLR 或 WDT 从休眠模式唤醒也会导致器件复位并且不会恢复休眠之前的工作状态 不同的复位条件决定 TO 和 PD (Status <4:3>) 位被置 1 还是清零 ( 表 51) 可使用这些位来确定复位的性质 表 53 列出了有关所有寄存器复位状态的完整说明 图 51 显示了片上复位电路的简化框图 表 51: 状态位及其含义 条件 TO PD 上电复位 1 1 正常工作期间的 MCLR 复位 u u MCLR 唤醒 ( 从休眠模式 ) 1 0 MCLR 从休眠模式唤醒 0 1 WDT 从休眠模式唤醒 0 0 图注 : u = 不变, x = 未知, = 未用 ( 读为 0) 表 52: 与复位相关的寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 MCLR 和 WDT 复位时的值 03h STATUS PA2 PA1 PA0 TO PD Z DC C xxx 000q quuu 图注 : u = 不变, x = 未知, q = 取值请参见表 Microchip Technology Inc. DS41213C_CN 第 23 页

26 表 53: 所有寄存器的复位状态 寄存器 地址 上电复位 MCLR 或 WDT 复位 W N/A xxxx xxxx uuuu uuuu TRIS N/A OPTION N/A INDF 00h xxxx xxxx uuuu uuuu TMR0 01h xxxx xxxx uuuu uuuu PCL 02h STATUS 03h xxx 000q quuu FSR (1) 04h 111x xxxx 111u uuuu FSR (2) 04h 1xxx xxxx 1uuu uuuu FSR (3) 04h xxxx xxxx uuuu uuuu PORTA 05h xxxx uuuu PORTB 06h xxxx xxxx uuuu uuuu PORTC (4) 07h xxxx xxxx uuuu uuuu PORTD (5) 08h xxxx xxxx uuuu uuuu PORTE (5) 09h xxxx uuuu 图注 : u = 不变, x = 未知, = 未用 ( 读为 0), q = 取值请参见表 51 注 1: 仅 PIC16F54 才有的寄存器 2: 仅 PIC16F57 才有的寄存器 3: 仅 PIC16F59 才有的寄存器 4: PIC16F54 的通用文件寄存器 5: PIC16F54 和 PIC16F57 的通用文件寄存器 图 51: 片上复位电路的简化框图 VDD POR MCLR/VPP MCLR 滤波器 S WDT 模块 R Q Chip Reset DRT 复位 DS41213C_CN 第 24 页 2006 Microchip Technology Inc.

27 5.1 上电复位 (POR) PIC16F5X 系列器件包含一个片上上电复位 (POR) 电路, 为许多上电情形提供了内部芯片复位功能 要使用该功能, 用户只要把 MCLR/VPP 引脚与 VDD 相连即可 图 52 显示了片上复位电路的简化框图 上电复位电路与器件复位定时器 ( 第 5.2 节 ) 电路紧密相连 当上电时, 将复位锁存器置 1,DRT 重置 DRT 一旦检测到 MCLR 为高电平就开始计数 超时 ( 通常为 18 ms) 后,DRT 会重置复位锁存器, 结束片上复位信号 图 53 给出了 MCLR 没有与 VDD 相连的上电示例 允许 VDD 在驱动 MCLR 为高电平前升高并稳定下来 芯片实际会在 MCLR 变为高电平后的 TDRT 毫秒后退出复位 图 54 使用了片上的上电复位功能 (MCLR 与 VDD 相连 ) 在振荡器起振定时器超时前 VDD 保持稳定, 能顺利进入复位 但是, 图 55 描述了 VDD 上升太慢的问题 从 DRT 在 MCLR/VPP 引脚上检测到高电平到 MCLR/ VPP 引脚 ( 和 VDD) 实际达到满电平的时间太长 在这种情况下, 当起振定时器超时时, VDD 尚未达到 VDD ( 最小 ) 值, 所以芯片不能正常工作 对于这种情况, 建议使用外部 RC 电路, 以达到较长的上电复位延时 ( 图 52) 注 1: 当器件开始正常工作 ( 即退出复位状态 ) 时, 必须满足特定的工作参数要求 ( 电压 频率和温度等 ), 才能确保器件正常工作 如果不满足这些条件, 那么器件必须保持在复位状态, 直到满足工作条件为止 2: 当器件处于休眠状态时上电复位被禁止 关于 PIC16F5X 上电复位的更多信息, 请参见 上的应用笔记 PowerUp Considerations (AN522) 图 52: VDD D VDD 外部上电复位电路 ( 对于 VDD 缓慢上电的情况 ) R C R1 MCLR PIC16F5X 仅当 VDD 上电速率缓慢时, 才需要外部上电复位电路 当 VDD 掉电时, 二极管 D 帮助电容迅速放电 建议 R < 40 kω, 以确保电阻 R 两端压降符合器件的电气规范 当 MCLR 引脚由于静电放电 (Electrostatic Discharge, ESD) 或电超载 (Electrical Overstress, EOS) 被击穿时, 阻值为 100Ω 到 1 kω 的 R1 将限制电流从外部电容 C 流入 MCLR 引脚 2006 Microchip Technology Inc. DS41213C_CN 第 25 页

28 图 53: 上电时的延时时序 (MCLR 不与 VDD 相连 ) VDD MCLR 内部上电复位 TDRT DRT 延时 内部复位 图 54: 上电时的延时时序 (MCLR 与 VDD 相连 ):VDD 上升迅速 VDD MCLR 内部上电复位 TDRT DRT 延时 内部复位 图 55: 上电时的延时时序 (MCLR 与 VDD 相连 ):VDD 上升缓慢 V1 VDD MCLR 内部上电复位 TDRT DRT 延时 内部复位 注 : 当 VDD 上升缓慢时, 在 VDD 达到最终值时, TDRT 延时早已结束 在本例中, 只有在 V1 VDD ( 最小 ) 时芯片才能正确复位 DS41213C_CN 第 26 页 2006 Microchip Technology Inc.

29 5.2 器件复位定时器 (DRT) 器件复位定时器 (DRT) 在复位时提供了 18 ms 标称延时, 与具体使用的振荡模式无关 DRT 工作在内部 RC 振荡器下 在 DRT 保持活动的状态下处理器处于复位状态 DRT 延时允许 VDD 上升到 VDD( 最小 ) 之上, 并使选定的振荡器稳定下来 基于晶振或陶瓷谐振器的振荡电路在上电后需要一段时间才能稳定 在 MCLR/VPP 引脚上的电压达到逻辑高电平 (VIH) 后, 片上 DRT 使器件保持约 18 ms 的复位状态 所以在大多数情况下, 不需要在 MCLR 输入引脚上连接外部 RC 网络, 从而降低成本并减少空间占用 各个芯片的器件复位定时器延时因 VDD 温度和制造工艺不同而变化 要了解详细信息, 请参见交流参数 在看门狗定时器超时时也会触发 DRT 对于使用 WDT 自动唤醒休眠下的 PIC16F5X 的应用场合该功能非常重要 5.3 欠压复位 欠压是指器件电压 (VDD) 掉到最小值以下但未降到零, 然后又恢复的状态 发生欠压时器件应该复位 要在发生欠压时复位 PIC16F5X 器件, 需要外部欠压保护电路, 如图 56 图 57 和图 58 所示 图 56: 外部欠压保护电路 1 33k VDD 10k Q1 40k VDD MCLR PIC16F5X 在 VDD 下降到 Vz + 0.7V( 其中 Vz = 齐纳电压 ) 后, 该电路将激活复位 图 57: 外部欠压保护电路 2 该欠压电路精度不高, 但成本较低 当 VDD 低于特定电平时晶体管 Q1 截止 : 图 58: 外部欠压保护电路 3 VSS VDD R1 R2 VDD MCP809 VDD RST VDD Q1 40k VDD MCLR PIC16F5X R1 R1 + R2 = 0.7V 旁路电容 VDD MCLR PIC16F5X 该欠压保护电路采用 Microchip Technology 的 MCP809 单片机监控器 MCP8XX 和 MCP1XX 系列监控器提供推挽和集电极开路输出, 并有 高电平有效 和 低电平有效 复位引脚 有 7 个不同的跳变点供选择使之来适合 5V 和 3V 系统 2006 Microchip Technology Inc. DS41213C_CN 第 27 页

30 注 : DS41213C_CN 第 28 页 2006 Microchip Technology Inc.

31 6.0 I/O 端口 同其他寄存器一样, I/O 寄存器也可以在程序控制下进行读写 但是不管引脚模式为输入还是输出, 读指令 ( 如 MOVF PORTB, W) 始终读 I/O 引脚 在复位时, 所有 I/O 端口都被定义为输入 ( 输入呈现高阻态 ), 因为 I/O 控制寄存器 (TRISA TRISB TRISC TRISD 和 TRISE) 都被置 PORTA PORTA 是 4 位 I/O 寄存器 只使用低 4 位 (PORTA<3:0>), 未使用高 4 位 (PORTA<7:4>), 读为 PORTB PORTB 是一个 8 位 I/O 寄存器 (PORTB<7:0>) 6.3 PORTC PIC16F57 和 PIC16F59 的 PORTC 是 8 位 I/O 寄存器 (PORTC<7:0>) PIC16F54 的 PORTC 是通用寄存器 6.4 PORTD PIC16F59 的 PORTD 是 8 位 I/O 寄存器 (PORTD<7:0>) PIC16F54 和 PIC16F57 的 PORTD 是通用寄存器 6.5 PORTE PIC16F59 的 PORTE 是 4 位 I/O 寄存器 只使用高 4 位 (PORTE<7:4>), 未使用低 4 位 (PORTE<3:0>), 读为 0 PIC16F54 和 PIC16F57 的 PORTE 是通用寄存器 6.6 TRIS 寄存器 通过执行 TRIS f 指令将把 W 寄存器的内容装入输出驱动器控制寄存器 如果 TRIS 寄存器中的某位为 1, 则相应的输出驱动器处于高阻 ( 输入 ) 模式 如果为 0, 则把输出数据锁存器的内容放入选定的引脚, 并使能输出缓冲器 注 : TRIS 寄存器为只读寄存器, 在复位时置 1 ( 禁止输出驱动器 ) 6.7 I/O 接口 I/O 端口引脚的等效电路如图 61 所示 所有端口都可以用于输入和输出操作 对于输入操作, 这些端口不被锁存 在输入指令 ( 如 MOVF PORTB, W) 读取数据前, 数据必须已被放在输入引脚上 输出数据要被锁存, 并在输出锁存器被改写前保持不变 要把端口引脚用作输出, 必须将相应的方向控制位 ( 在 TRISA TRISB TRISC TRISD 或 TRISE 中 ) 清零 (= 0) 要把端口引脚用作输入, 必须将相应的 TRIS 位置 1 各个 I/O 引脚可单独被设置为输入或输出 图 61: 数据总线 写端口 读端口实际是读引脚, 而非读输出数据锁存器 这就意味着如果使能了引脚上的输出驱动器并将其驱动为高电平, 但外部系统保持引脚为低电平, 对端口执行读操作说明引脚为低电平 D Q 数据锁存器 CK 一个 I/O 引脚的等效电路 Q VDD P VDD W 寄存器 TRIS f D Q TRIS 锁存器 CK Q N VSS VSS I/O 引脚 复位 Q D E 读端口 2006 Microchip Technology Inc. DS41213C_CN 第 29 页

32 表 61: 端口寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 MCLR 和 WDT 复位时的值 N/A TRIS I/O 控制寄存器 (TRISA TRISB TRISC TRISD 和 TRISE) h PORTA RA3 RA2 RA1 RA0 xxxx uuuu 06h PORTB RB7 RB6 RB5 RB4 RB3 RB2 RB1 RB0 xxxx xxxx uuuu uuuu 07h PORTC (1) RC7 RC6 RC5 RC4 RC3 RC2 RC1 RC0 xxxx xxxx uuuu uuuu 08h PORTD (2) RD7 RD6 RD5 RD4 RD3 RD2 RD1 RD0 xxxx xxxx uuuu uuuu 09h PORTE (2) RE7 RE6 RE5 RE4 xxxx uuuu 图注 : 阴影单元 = 未用 ( 读为 0), = 未用 ( 读为 0), x = 未知, u = 不变 注 1: PIC16F54 中地址单元 07h 是通用寄存器 2: PIC16F54 和 PIC16F57 中地址单元 08h 和 09h 是通用寄存器 DS41213C_CN 第 30 页 2006 Microchip Technology Inc.

33 6.8 I/O 编程注意事项 双向 I/O 端口 某些指令的操作在内部是先执行读操作再执行写操作 例如, BCF 和 BSF 指令把整个端口读入 CPU, 执行位操作, 然后改写结果 当这些指令应用于有一个或多个引脚被定义为输入 / 输出的端口时, 需要格外小心 例如, 对 PORTB 的 bit 5 执行 BSF 会把 PORTB 的所有 8 位读入 CPU, 将第 5 位置 1, 然后把 PORTB 的值写入输出锁存器 如果 PORTB 的另一位被用作双向 I/O 引脚 ( 如 bit 0), 并且被定义为输入, 那么该引脚上的输入信号将被读入 CPU 并改写该特定引脚的数据锁存器, 覆盖原先内容 只要引脚保持为输入模式, 那就不会出现问题 但是此后如果 bit 0 切换为输出模式, 则数据锁存器的内容将不可知 例 61 给出了对一个 I/O 引脚执行连续的读 修改 写指令 ( 如 BCF BSF 等 ) 的效果 引脚正在输出高或低电平时, 外部器件不应为了改变引脚电平而驱动引脚 ( 线与 或 线或 ) 产生的高输出电流可能会损坏芯片 例 61: 对 I/O 端口执行读 修改 写指令 ;Initial PORT Settings ;PORTB<7:4> Inputs ;PORTB<3:0> Outputs ;PORTB<7:6> have external pullups and are ;not connected to other circuitry ; ; PORT latch PORT pins ; BCF PORTB, 7 ;01pp pppp 11pp pppp BCF PORTB, 6 ;10pp pppp 11pp pppp MOVLW H'3F' ; TRIS PORTB ;10pp pppp 10pp pppp ; ;Note that the user may have expected the pin ;values to be 00pp pppp. The 2nd BCF caused ;RB7 to be latched as the pin value (High) I/O 端口上的连续操作 对 I/O 端口的实际写操作发生在一个指令周期的末尾, 但对于读操作, 在指令周期一开始就要求数据有效 ( 见图 61) 所以对同一 I/O 端口执行写操作后紧跟读操作需格外小心 指令序列应该在执行下一条把文件寄存器读入 CPU 的指令前让引脚电压稳定下来 否则可能会把引脚的前一状态读入 CPU, 而非新状态 当不确定电平何时稳定时, 最好用一条 NOP 指令或其他不访问 I/O 端口的指令将这些指令分隔开来 图 61: 连续 I/O 操作 取指令 RB<7:0> Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC PC +1 PC + 2 PC + 3 MOVWF PORTB MOVF PORTB,W NOP NOP 在此写入 在此采样 端口引脚 端口引脚 该示例显示了在写 PORTB 操作后紧跟读 PORTB 操作 执行指令 MOVWF PORTB ( 写 PORTB) MOVF PORTB,W ( 读 PORTB) NOP 取指 (PC) 执行指令 (PC 1) 取指 (PC + 1) 执行指令 (PC) 取指 (PC + 2) 执行指令 (PC + 1) 取指 (PC + 3) 执行指令 (PC + 2) 2006 Microchip Technology Inc. DS41213C_CN 第 31 页

34 注 : DS41213C_CN 第 32 页 2006 Microchip Technology Inc.

35 7.0 TIMER0 模块和 TMR0 寄存器 Timer0 模块具有如下特性 : 8 位定时器 / 计数器寄存器 TMR0 可读写 软件可编程的 8 位预分频器 内部或外部时钟选择 外部时钟的边沿选择图 71 是 Timer0 模块的简化框图 通过清零 T0CS 位 (OPTION<5>) 选择定时器模式 在定时器模式下,Timer0 模块在每个指令周期都会递增 ( 不使用预分频器 ) 如果对 TMR0 寄存器执行写操作, 在接下来的两个指令周期禁止递增 ( 图 72 和图 73) 用户可通过将校正值写入 TMR0 寄存器来避开这个问题 通过将 T0CS 位 (OPTION<5>) 置 1 来选择计数器模式 在此模式下, Timer0 会在 T0CKI 引脚的每个上升沿和下降沿递增 具体的计数沿由时钟源边沿选择位 T0SE(OPTION<4>) 决定 通过清零 T0SE 位来选择上升沿 在第 7.1 节 使用外部时钟作为 Timer0 的时钟源 对外部时钟输入的限制进行了详细讨论 注 : Timer0 模块或看门狗定时器中只有一个可以使用预分频器, 两者不能同时使用预分频器 预分频器的分配由控制位 PSA (OPTION<3>) 通过软件来控制 通过清零 PSA 位将预分频器分配给 Timer0 不可对该预分频器进行读写操作 如果将预分频器分配给 Timer0 模块, 可供选择的预分频比有 1:2 1:4..., 1:256 第 7.2 节 预分频器 详细介绍了预分频器的操作 表 71 是与 Timer0 模块相关的寄存器的汇总 图 71: TIMER0 框图 T0CKI 引脚 FOSC/4 T0SE (1) 0 1 可编程 (2) 预分频器 1 0 PSout 数据总线 与内部时钟 TMR0 寄存器同步 PSout ( 延迟两个周期 ) 同步 8 3 T0CS (1) PS2, PS1, PS0 (1) PSA (1) 注 1: T0CS T0SE PSA PS2 PS1 和 PS0 位于选项寄存器 ( 见第 3.4 节 选项寄存器 ) 2: Timer0 与看门狗定时器共用该预分频器 ( 图 75) 图 72: PC ( 程序计数器 ) 取指令 TIMER0 时序图 : 内部时钟 / 无预分频器 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC +1 PC + 2 PC +3 PC +4 PC +5 PC +6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 T0 +2 NT0 NT0 NT0 NT0 + 1 NT0 +2 执行指令 执行写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 读 TMR0 读 NT Microchip Technology Inc. DS41213C_CN 第 33 页

36 图 73: TIMER0 时序图 : 内部时钟 / 分频比为 1:2 PC ( 程序计数器 ) 取指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC +1 PC + 2 PC +3 PC +4 PC +5 PC +6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 NT0 NT0 + 1 执行指令 执行写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 表 71: 与 TIMER0 相关的寄存器 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 MCLR 和 WDT 复位时的值 01h TMR0 Timer0 8 位实时时钟 / 计数器 xxxx xxxx uuuu uuuu N/A OPTION T0CS T0SE PSA PS2 PS1 PS 图注 : Timer0 不使用阴影单元, = 未用, x = 未知, u = 不变 DS41213C_CN 第 34 页 2006 Microchip Technology Inc.

37 7.1 使用外部时钟作为 Timer0 的时钟源 用于 Timer0 的外部时钟输入必须满足特定条件 要求外部时钟与内部相位时钟 (TOSC) 同步 在同步之后, 要经过一定延时, Timer0 才会递增 外部时钟同步 如果不使用预分频器, 那么外部时钟就是 Timer0 的输入 在内部时钟的 Q2 和 Q4 周期对预分频器输出进行采样可实现 T0CKI 与内部相位时钟的同步 ( 图 74) 因此, 要求 T0CKI 引脚信号的高电平时间至少为 2 个 TOSC ( 加上一小段 20 ns 的 RC 延时 ), 并且低电平时间也至少为 2 个 TOSC( 加上一小段 20 ns 的 RC 延时 ) 请参见所需器件的电气规范 如果使用了预分频器, 外部时钟输入要先经过异步脉动计数型预分频器的分频, 从而使预分频器的输出对称 为了使外部时钟满足采样要求, 必须考虑纹波计数器的影响 因此, T0CKI 的周期必须至少为 4 个 TOSC ( 加上一小段 20 ns 的 RC 延时 ) 除以预分频值 T0CKI 引脚上的高低电平持续时间只须满足 10 ns 的最小脉宽要求即可 请参见所需器件电气规范中的参数 和 TIMER0 递增延时 由于预分频器输出要与内部时钟同步, 所以从出现外部时钟沿到 Timer0 模块递增会有一小段延时 图 74 说明了从出现外部时钟沿到定时器执行递增操作之间的延时 图 74: TIMER0 和外部时钟的时序 外部时钟输入或预分频器输出 (1) 采样后的外部时钟 / 预分频器输出 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 脉冲过窄无法采样 (2) (3) Timer0 递增 (Q4) Timer0 T0 T0 + 1 T0 +2 注 1: 不选择预分频器时为外部时钟 ; 否则为预分频器的输出 2: 箭头所指为采样时刻 3: 时钟输入发生变化到 Timer0 递增会有 3 个 TOSC 到 7 个 TOSC ( 持续时间 Q=TOSC) 的延时 因此, 测量 Timer0 输入的相邻脉冲间隔时, 其最大误差为 ± 4 TOSC 7.2 预分频器 8 位计数器可分别被用作 Timer0 模块的预分频器和看门狗定时器 (WDT) 的后分频器 ( 第 节 WDT 周期 ) 为简化起见, 在本数据手册中将它们统称为预分频器 注意,Timer0 模块和看门狗定时器中只有一个可以使用预分频器, 两者不能同时使用预分频器 因此, 如果预分频器分配给 Timer0 模块, 看门狗定时器就没有预分频器可用了, 反之亦然 PSA 和 PS<2:0> 位 (OPTION<3:0>) 确定预分频器的分配和预分频比 如果分配给 Timer0 模块, 所有写入 TMR0 寄存器的指令 ( 如 CLRF 1 MOVWF 1 BSF 1 x 等 ) 都会使预分频器清零 如果分配给看门狗定时器, CLRWDT 指令将使该预分频器和看门狗定时器同时清零 不可对该预分频器进行读写操作 一旦复位, 预分频器将包含全 Microchip Technology Inc. DS41213C_CN 第 35 页

38 PSA (1) 0 1 PIC16F5X 切换预分频器的分配 预分频器的分配完全由软件控制, 即可以在程序执行期间随时更改 为避免器件意外复位, 请在将预分频器从分配给 Timer0 切换为分配给 WDT 时, 务必执行以下序列 ( 例 71) 例 71: 切换预分频器 (TIMER0 WDT) CLRWDT ;Clear WDT CLRF TMR0 ;Clear TMR0 & ;Prescaler MOVLW B'00xx1111 ;Last 3 instructions ;in this example OPTION ;are required only if ;desired CLRWDT ;PS<2:0> are 000 or 001 MOVLW B'00xx1xxx ;Set Prescaler to OPTION ;desired WDT rate 要将预分频器从分配给 WDT 切换为分配给 Timer0 模块, 请执行例 72 中给出的序列 即便 WDT 被禁用也要执行该序列 切换预分频器前要执行 CLRWDT 指令 例 72: 切换预分频器 (WDT TIMER0) CLRWDT ;Clear WDT and ;prescaler MOVLW B'xxxx0xxx' ;Select TMR0, new ;prescale value and ;clock source OPTION 图 75: TIMER0/WDT 预分频器框图 TCY ( = FOSC/4) 数据总线 T0CKI 引脚 0 1 M U X 1 0 M U X 同步两个周期 8 TMR0 寄存器 T0SE (1) T0CS (1) PSA (1) 看门狗定时器 0 1 M U X 8 位预分频器 8 8 选 1 MUX PS<2:0> (1) WDT 使能位 MUX PSA (1) WDT 超时 注 1: T0CS T0SE 和 PSA PS<2:0> 是 Option 寄存器中的位 DS41213C_CN 第 36 页 2006 Microchip Technology Inc.

39 8.0 CPU 的特性 单片机与其他处理器的不同之处就在于它具有可以满足实时应用需要的特殊电路 PIC16F5X 系列器件包含的许多特性, 旨在最大限度地提高系统的可靠性, 通过减少外部元件将成本降至最低, 并且还提供了低功耗和代码保护功能 这些功能包括 : 振荡器选择 复位 上电复位 器件复位定时器 看门狗定时器 (WDT) 休眠 代码保护 用户 ID 单元 在线串行编程 (ICSP ) PIC16F5X 系列器件具有只能通过配置位 WDTE 来关闭的看门狗定时器 它在自己的 RC 振荡模式下运行, 以提高可靠性 器件复位定时器 (DRT) 将提供 18 ms 的延时, 以保持芯片为复位状态直到晶振稳定 有了片上定时器, 大部分应用都不需要外部复位电路 设计休眠模式是为了提供电流非常低的掉电模式 用户可以通过外部复位或看门狗定时器超时将器件从休眠模式唤醒 器件也可以选用几种振荡器以适应特定应用的要求 RC 振荡器节省系统成本, 而 LP 晶振可以节省功耗 可使用一组配置位来选择不同的振荡模式 8.1 配置位 可以对配置位进行编程来选择各种器件配置 有两个用于选择振荡器类型的位, 有一个看门狗定时器使能位, 还有一个 PIC16F5X 器件的代码保护位 ( 寄存器 81) 寄存器 81: U1 U1 PIC16F5X 的配置字寄存器 U1 U1 U1 U1 U1 U1 R/W1 R/W0 R/W0 R/W0 CP WDTE FOSC1 FOSC0 bit 11 bit 0 bit 114: 未用 : 读为 1 bit 3: CP: 代码保护位 1 = 禁止代码保护 0 = 使能代码保护 bit 2: WDTE: 看门狗定时器使能位 1 = 使能 WDT 0 = 禁止 WDT bit 10: FOSC1:FOSC0: 振荡器选择位 00 = LP 振荡器 01 = XT 振荡器 10 = HS 振荡器 11 = RC 振荡器注 1: 请参见 PIC16F54 PIC16F57 和 PIC16F59 器件的编程规范以确定访问配置字的方法 可以在 Microchip 网站 上找到这些文档 图注 : R = 可读位 W = 可写位 U = 未用位, 读为 0 n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 2006 Microchip Technology Inc. DS41213C_CN 第 37 页

40 8.2 看门狗定时器 (WDT) 看门狗定时器 (WDT) 是自由运行的片上 RC 振荡器, 它不需要任何外部组件 此 RC 振荡器与 OSC1/CLKIN 引脚上的 RC 振荡器是分开的 这意味着即使 SC1/ CLKIN 和 OSC2/CLKOUT 引脚上的时钟被停止 ( 例如, 通过执行 SLEEP 指令 )WDT 也将继续运行 在正常工作或休眠过程中, WDT 复位或唤醒复位都会导致器件复位 在看门狗定时器复位时,TO 位 (STATUS<4>) 将被清零 ( 第 3.3 节 状态寄存器 ) 可以通过将配置位 WDTE 编程为 0 永久禁止 WDT( 第 8.1 节 配置位 ) 请参见 PIC16F54 和 PIC16F57 编程规范以确定访问配置字的方法 可以在 Microchip 网站 上找到这些文档 WDT 周期 一个 8 位计数器可分别用作 Timer0 模块的预分频器 ( 第 7.2 节 预分频器 ) 或看门狗定时器 (WDT) 的后分频器 为简化起见, 在此数据手册中称该计数器为 预分频器 注 : Timer0 模块或 WDT 都可以使用预分频器, 但两者不能同时使用 因此, 给 Timer0 模块分配了预分频器就意味着 WDT 没有预分频器, 反之亦然 PSA 和 PS<2:0> 位 (OPTION<3:0>) 确定预分频器的分配和预分频比 ( 第 3.4 节 选项寄存器 ) WDT 的标称超时周期为 18 ms( 无预分频器 ) 如果需要更长的超时周期, 可以通过写选项寄存器为 WDT 分配分频比最高可达 1:128 的预分频器 ( 由软件控制 ) 这样就可以实现周期标称值为 2.3 秒的延时 这些周期随着温度 VDD 和器件工艺的不同而不同 ( 见器件特性 ) 在最坏的条件下 (VDD = 最小值, 温度 = 最大值,WDT 预分频比 = 1:128), 它需要几秒钟才能产生 WDT 超时 WDT 编程注意事项 如果将预分频器分配给 WDT,CLRWDT 指令将清零 WDT 和预分频器, 并阻止 WDT 超时和由此产生的器件复位 SLEEP 指令将复位 WDT 和预分频器 ( 如果预分频器分配给 WDT 的话 ) 这将给出 WDT 唤醒复位之前的最大休眠时间 图 81: 看门狗定时器框图来自 TMR0 时钟源 注 看门狗定时器 WDTE 0 1 M U X PSA (1) 预分频器 8 选 1 MUX 0 1 到 TMR0 MUX PSA (1) WDT 超时溢出 PS<2:0> (1) 1: T0CS T0SE PSA 和 PS<2:0> 是选项寄存器中的位 表 81: 与看门狗定时器相关的寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 MCLR 和 WDT 复位时的值 N/A OPTION T0CS T0SE PSA PS2 PS1 PS 图注 : 看门狗定时器未使用阴影单元, = 未用 ( 读为 0), u = 不变 DS41213C_CN 第 38 页 2006 Microchip Technology Inc.

41 8.3 掉电模式 ( 休眠 ) 器件可能掉电 ( 休眠 ) 然后在稍后上电 ( 从休眠模式唤醒 ) 休眠 通过执行 SLEEP 指令可进入掉电模式 使能时, 看门狗定时器将被清零, 但是仍然保持运行, TO 位 (STATUS<4>) 被置 1,PD 位 (STATUS<3>) 被清零并且关闭振荡器驱动器 I/O 端口将保持 SLEEP 指令执行之前的状态 ( 驱动为高电平 低电平或高阻态 ) 应该注意 WDT 超时溢出所产生的复位不会将 MCLR/ VPP 引脚驱动为低电平 为了使掉电时的电流消耗最低,T0CKI 引脚的输入电压应该为 VDD 或 VSS, 并且 MCLR/VPP 引脚必须为逻辑高电平 (MCLR = VIH) 从休眠模式唤醒 可以通过下列任一事件将器件从休眠状态唤醒 : 1. MCLR/VPP 引脚上的外部复位输入 2. 看门狗定时器超时溢出复位 ( 如果使能了 WDT) 这两种事件都会导致器件复位 可以使用 TO 和 PD 位来确定器件复位的原因 如果发生 WDT 超时溢出 ( 并导致唤醒 ), TO 将被清零 PD 在上电时置 1, 在调用 SLEEP 指令时清零 当器件从休眠模式唤醒时, 不管唤醒源是哪个,WDT 都会清零 8.4 程序校验和代码保护 如果代码保护位未编程, 可以读出片上程序存储器用于校验 一旦使能了代码保护, 所有地址大于 0x3F 的程序存储器单元都将被读为全 0 而程序存储单元 0x000x3F 总是不被保护的, 因此将以不受保护的方式读出用户 ID 单元和配置字 可以在使能了代码保护之后编程用户 ID 单元和配置字 8.5 用户 ID 单元 有 4 个存储器单元被指定为用户 ID 地址单元, 供用户存储校验和或其他代码标识号 在正常执行过程中不能访问这些单元, 但可在编程 / 校验模式中对它们进行读写 仅使用用户 ID 地址单元的低 4 位并且总是将高 8 位编程为 1 注 : Microchip 将为 QTP 和 SQTP 请求分配唯一的模式编号 此模式编号对于提交的代码是唯一并且可跟踪的 8.6 在线串行编程 (ICSP ) PIC16F5X 系列单片机可以在最终应用电路中进行串行编程 只需要 5 根线即可完成这一操作, 其中时钟线 数据线各一根, 其余 3 根分别是电源线 接地线和编程电压线 这允许用户使用未编程器件制造电路板, 而仅在产品交付前才对单片机进行编程, 从而可以使用最新版本的固件或者定制固件 通过将 RB6 和 RB7 引脚保持为低电平, 并同时将 MCLR (VPP) 引脚从 VIL 上升到 VIHH, 可将器件置于编程 / 验证模式 RB6 是编程时钟线且 RB7 是编程数据线 RB6 和 RB7 在此模式中均采用施密特触发器输入方式 然后向器件发送一条 6 位命令 根据具体命令是执行装载还是读取操作, 可向器件提供一个 14 位的编程数据或是从器件读取一个 14 位的编程数据 欲知串行编程的完整细节, 请分别参见编程规范 : PIC16F54 Memory Programming Specification (DS41207) PIC16F57 Memory Programming Specification (DS41208) 和 PIC16F59 Memory Programming Specification (DS41243) 图 81 所示为典型的在线串行编程连接方式 2006 Microchip Technology Inc. DS41213C_CN 第 39 页

42 图 82: 典型的在线串行编程连接方式 外部连接器信号 到正常连接 PIC16F5X +5V 0V VPP CLK 数据 I/O VDD VSS MCLR/VPP RB6/ICSPCLK RB7/ICSPDAT VDD 到正常连接 DS41213C_CN 第 40 页 2006 Microchip Technology Inc.

43 9.0 指令集综述 每条 PIC16F5X 指令都是一个 12 位字, 由操作码 ( 指定指令类型 ) 和一个或多个操作数 ( 指定指令操作 ) 组成 表 92 中的 PIC16F5X 指令集将指令分为字节操作指令 位操作指令和立即数和控制操作指令 表 91 给出了操作码字段的说明 对于字节操作指令,f 为代表文件寄存器的指示符, 而 d 为代表目标寄存器的指示符 文件寄存器指示符指定指令将会使用存储区内 32 个文件寄存器中的哪一个 目标寄存器指示符指定操作结果的存放位置 如果 d 为 0, 结果存回 W 寄存器 如果 d 为 1, 操作结果存入指令指定的文件寄存器中 对于位操作指令, b 为代表位域的指示符, 用于选择操作所影响的位, 而 f 则代表相应位所在的寄存器的地址 对于立即数和控制操作指令, k 代表一个 8 位或 9 位常数或立即数值 表 91: 操作码字段说明 字段说明 f 寄存器文件地址 (0x00 到 0x1F) W 工作寄存器 ( 累加器 ) b 8 位文件寄存器中位的地址 k 立即数字段 常数或标号 x 与取值无关单元 (= 0 或 1) 汇编器将生成 x = 0 的代码 为了与所有的 Microchip 软件工具兼容, 建议使用这种形式 d 选择目标寄存器 : d = 0 结果存储至 W d = 1 结果存储至文件寄存器 f 默认值为 d = 1 标号标号名称 TOS 栈顶 PC 程序计数器 WDT 看门狗定时器计数器 TO 超时溢出位 PD 掉电位目标单元目标单元 : 可以是 W 寄存器或指定的文件寄存器单元 [ ] 选项 ( ) 内容 赋值 < > 寄存器位域 属于某个集合斜体字用户定义项 所有指令都在一个指令周期内执行, 除非条件测试为真或者指令改变了程序计数器的值 当发生上述情况时, 指令的执行就需要两个指令周期 每个指令周期由 4 个振荡周期组成 因此, 如果振荡频率为 4 MHz, 正常的指令执行时间将为 1 µs 如果条件测试为真或者指令改变了程序计数器的值, 指令执行时间将为 2 µs 图 91 给出了指令可以具有的三种一般格式 图中的所有示例都使用以下格式来代表十六进制数 : 0xhhh 其中 h 表示一位十六进制数字 图 91: 指令的一般格式面向字节的文件寄存器操作 操作码 d f ( 文件寄存器地址 ) d = 0 表示目标单元为 W 寄存器 d = 1 表示目标单元为文件寄存器 f = 5 位文件寄存器地址面向位的文件寄存器操作 操作码 b ( 位地址 )f ( 文件寄存器地址 ) b = 3 位位地址 f = 5 位文件寄存器地址 立即数和控制操作 ( 除 GOTO 以外 ) 操作码 k ( 立即数 ) k = 8 位立即数值 立即数和控制操作 GOTO 指令 操作码 k ( 立即数 ) k = 9 位立即数值 2006 Microchip Technology Inc. DS41213C_CN 第 41 页

44 表 92: 指令集汇总 ADDWF ANDWF CLRF CLRW COMF DECF DECFSZ INCF INCFSZ IORWF MOVF MOVWF NOP RLF RRF SUBWF SWAPF XORWF 助记符, 操作数 f, d f, d f f, d f, d f, d f, d f, d f, d f, d f f, d f, d f, d f, d f, d 说明 W 和 f 相加 W 和 f 作逻辑与运算将 f 清零将 W 寄存器清零 f 取反 f 减 1 f 减 1, 为 0 则跳过 f 增 1 f 增 1, 为 0 则跳过 W 和 f 作逻辑或运算将 f 的内容传送到目标寄存器将 W 的内容传送到 f 空操作对 f 执行带进位的循环左移对 f 执行带进位的循环右移 f 减去 W 将 f 中的两个半字节进行交换 W 和 f 作逻辑异或运算 面向位的文件寄存器操作 BCF BSF BTFSC BTFSS f, b f, b f, b f, b 将 f 中的某位清零将 f 中的某位置 1 检测 f 中的某位, 为 0 则跳过检测 f 中的某位, 为 1 则跳过 立即数和控制操作指令 ANDLW CALL CLRWDT GOTO IORLW MOVLW OPTION RETLW SLEEP TRIS XORLW 注 k k k k k k f k 立即数和 W 相与调用子程序清零看门狗定时器无条件跳转立即数与 W 作逻辑或运算将立即数传送到 W 装载 OPTION 寄存器返回并将立即数传送到 W 进入待机模式载入 TRIS 寄存器立即数与 W 作逻辑异或运算 周期数 (2) 1 1 (2) (2) 1 (2) 位操作码受影响的 MSb LSb 状态位 k df 01df 011f df 11df 11df 10df 11df 00df 00df 001f df 00df 10df 10df 10df bbbf bbbf bbbf bbbf kkkk kkkk 0000 kkkk kkkk kkkk 0000 kkkk kkkk ffff ffff ffff 0000 ffff ffff ffff ffff ffff ffff ffff ffff 0000 ffff ffff ffff ffff ffff ffff ffff ffff ffff kkkk kkkk 0100 kkkk kkkk kkkk 0010 kkkk fff kkkk C DC 和 Z Z Z Z Z Z 无 Z 无 Z Z 无无 C C C DC 和 Z 无 Z 无无无无 Z 无 TO 和 PD 无 Z 无无无 TO 和 PD 无 Z 注 1, 2, 4 2, 4 4 2, 4 2, 4 2, 4 2, 4 2, 4 2, 4 1, 4 2, 4 2,4 1, 2, 4 2, 4 2, 4 1: 除 GOTO 之外的写 PC 指令会将程序计数器的第 9 位强制为 0 ( 欲知更多有关程序计数器的信息, 请参见第 3.5 节 程序计数器 ) 2: 当 I/O 寄存器用自身内容修改自身时 ( 例如, MOVF PORTB, 1), 使用的值是出现在引脚上的值 例如, 如果将一引脚配置为输入, 虽然其对应的数据锁存器中的值为 1, 但此时若有外部器件将该引脚驱动为低电平, 则被写回的数据值将是 0 3: 指令 TRIS f, 如果其中的 f = 5 6 或 7, 将导致 W 寄存器的内容分别被写入 PORTA B 或 C 的三态锁存 1 强制引脚为高阻态并禁止输出缓冲器 4: 当对 TMR0 寄存器执行这条指令 ( 并且指令中 d = 1) 时, 如果将预分频器分配给 Timer0 模块, 则将其清零 2, 4 2, DS41213C_CN 第 42 页 2006 Microchip Technology Inc.

45 ADDWF W 和 f 相加 语法 : [ 标号 ] ADDWF f,d 操作数 : 0 f 31 d [0,1] 操作 : (W) + (f) ( 目标寄存器 ) 受影响的状态位 : C DC 和 Z 机器码 : df ffff 说明 : 将 W 寄存器的内容与寄存器 f 相 加 如果 d 为 0, 结果存入 W 寄 存器 如果 d 为 1, 结果存回 f 寄存器 字数 : 1 周期数 : 1 示例 : ADDWF TEMP_REG, 0 执行指令前 W = 0x17 TEMP_REG = 0xC2 执行指令后 W = 0xD9 TEMP_REG = 0xC2 ANDWF W 和 f 作逻辑与运算 语法 : [ 标号 ] ANDWF f, d 操作数 : 0 f 31 d [0,1] 操作 : (W).AND.(f) ( 目标寄存器 ) 受影响的状态位 : Z 机器码 : df ffff 说明 : 将 W 寄存器的内容与寄存器 f 的内 容进行逻辑与运算 如果 d 为 0, 结果存储在 W 寄存器中 如果 d 为 1, 结果存回寄存器 f 字数 : 1 周期数 : 1 示例 : ANDWF TEMP_REG, 1 执行指令前 W = 0x17 TEMP_REG = 0xC2 执行指令后 W = 0x17 TEMP_REG = 0x02 ANDLW 立即数与 W 相与 语法 : [ 标号 ] ANDLW k 操作数 : 0 k 255 操作 : (W).AND.(k) (W) 受影响的状态位 : Z 机器码 : 1110 kkkk kkkk 说明 : 将 W 寄存器的内容与 8 位立即数 k 进行逻辑与运算 结果存入 W 寄 存器 字数 : 1 周期数 : 1 示例 : ANDLW H'5F' 执行指令前 W = 0xA3 执行指令后 W = 0x03 BCF 将 f 中的某位清零 语法 : [ 标号 ] BCF f, b 操作数 : 0 f 31 0 b 7 操作 : 0 (f<b>) 受影响的状态位 : 无 机器码 : 0100 bbbf ffff 说明 : 将寄存器 f 中的位 b 清零 字数 : 1 周期数 : 1 示例 : BCF FLAG_REG, 7 执行指令前 FLAG_REG = 0xC7 执行指令后 FLAG_REG = 0x Microchip Technology Inc. DS41213C_CN 第 43 页

46 BSF 将 f 中的某位置 1 语法 : [ 标号 ] BSF f,b 操作数 : 0 f 31 0 b 7 操作 : 1 (f<b>) 受影响的状态位 : 无 机器码 : 0101 bbbf ffff 说明 : 将寄存器 f 中的位 b 置 1 字数 : 1 周期数 : 1 示例 : BSF FLAG_REG, 7 执行指令前 FLAG_REG = 0x0A 执行指令后 FLAG_REG = 0x8A BTFSC 检测 f 中的某位, 为 0 则跳过 语法 : [ 标号 ] BTFSC f, b 操作数 : 0 f 31 0 b 7 操作 : (f<b>) = 0, 则跳过 受影响的状态 无 位 : 机器码 : 0110 bbbf ffff 说明 : 如果寄存器 f 中的位 b 为 0, 则跳 过下一条指令 即在位 b 为 0 时, 丢弃下一条指令 ( 当前指令执行期间取指 ), 转而 执行一条 NOP 指令, 从而使该指令 变成双周期指令 字数 : 1 周期数 : 1(2) 示例 : HERE FALSE TRUE BTFSC GOTO FLAG,1 PROCESS_CODE 执行指令前 PC = 地址 (HERE) 执行指令后如果 FLAG<1>= 0, PC = 地址 (TRUE); 如果 FLAG<1>= 1, PC = 地址 (FALSE) BTFSS 检测 f 中的某位, 为 1 则跳过 语法 : [ 标号 ] BTFSS f, b 操作数 : 0 f 31 0 b < 7 操作 : (f<b>) = 1, 则跳过 受影响的状态 无 位 : 机器码 : 0111 bbbf ffff 说明 : 如果 f 中的位 b 为 1, 则跳过下一 条指令 即在位 b 为 1 时, 丢弃下一条指令 ( 当前指令执行期间取指 ), 转而 执行一条 NOP 指令, 从而使该指令 变成双周期指令 字数 : 1 周期数 : 1(2) 示例 : HERE BTFSS FLAG,1 FALSE GOTO PROCESS_CODE TRUE 执行指令前 PC = 地址 (HERE) 执行指令后 如果 FLAG<1> = 0, PC = 地址 (FALSE); 如果 FLAG<1> = 1, PC = 地址 (TRUE) DS41213C_CN 第 44 页 2006 Microchip Technology Inc.

47 CALL 调用子程序 语法 : [ 标号 ] CALL k 操作数 : 0 k 255 操作 : (PC)+1 TOS, k PC<7:0> ; (STATUS<6:5>) PC<10:9> ; 0 PC<8> 受影响的状态 无 位 : 机器码 : 1001 kkkk kkkk 说明 : 调用子程序 首先, 将返回地址 (PC+1) 压入返回堆栈 将 8 位立 即数地址装入 PC 位 <7:0> PC<10:9> 高位从 STATUS<6:5> 装入, PC<8> 被清零 CALL 是一 条 双周期指令 字数 : 1 周期数 : 2 示例 : HERE CALL THERE 执行指令前 PC = 地址 (HERE) 执行指令后 PC = 地址 (HERE) PC = 地址 (HERE + 1) CLRF 将 f 清零 语法 : [ 标号 ] CLRF f 操作数 : 0 f 31 操作 : 00h (f) ; 1 Z 受影响的状态位 : Z 机器码 : f ffff 说明 : 寄存器 f 的内容被清零并且将 Z 位 置 1 字数 : 1 周期数 : 1 示例 : CLRF FLAG_REG 执行指令前 FLAG_REG = 0x5A 执行指令后 FLAG_REG = 0x00 Z = 1 CLRW 将 W 寄存器清零 语法 : [ 标号 ] CLRW 操作数 : 无 操作 : 00h (W) ; 1 Z 受影响的状态 Z 位 : 机器码 : 说明 : W 寄存器被清零 将全零位置 1 字数 : 1 周期数 : 1 示例 : CLRW 执行指令前 W = 0x5A 执行指令后 W = 0x00 Z = 1 CLRWDT 将看门狗定时器清零 语法 : [ 标号 ] CLRWDT 操作数 : 无操作 : 00h WDT ; 0 WDT 预分频器 ( 如果已分配 ); 1 TO ; 1 PD 受影响的状态位 : TO, PD 机器码 : 说明 : CLRWDT 指令将 WDT 复位 如果 预分频器被分配给 WDT 而不是 Timer0, 它也将复位预分频器 状 态位 TO 和 PD 被置 1 字数 : 1 周期数 : 1 示例 : CLRWDT 执行指令前 WDT 计数器 =? 执行指令后 WDT 计数器 = 0x00 WDT 预分频器 = 0 TO = 1 PD = Microchip Technology Inc. DS41213C_CN 第 45 页

48 COMF f 取反 语法 : [ 标号 ] COMF f,d 操作数 : 0 f 31 d [0,1] 操作 : (f) ( 目标寄存器 ) 受影响的状态 Z 位 : 机器码 : df ffff 说明 : 将寄存器 f 的内容取反 如果 d 为 0, 结果存入 W 寄存器 如果 d 为 1, 结果存回寄存器 f 字数 : 1 周期数 : 1 示例 : COMF REG1,0 执行指令前 REG1 = 0x13 执行指令后 REG1 = 0x13 W = 0xEC DECF f 减 1 语法 : [ 标号 ] DECF f, d 操作数 : 0 f 31 d [0,1] 操作 : (f) 1 ( 目标寄存器 ) 受影响的状态 Z 位 : 机器码 : df ffff 说明 : 寄存器 f 的内容减 1 如果 d 为 0, 结果存储在 W 寄存器中 如果 d 为 1, 结果存回寄存器 f 字数 : 1 周期数 : 1 示例 : DECF CNT, 1 执行指令前 CNT = 0x01 Z = 0 执行指令后 CNT = 0x00 Z = 1 DECFSZ f 减 1, 为 0 则跳过 语法 : [ 标号 ] DECFSZ f, d 操作数 : 0 f 31 d [0,1] 操作 : (f) 1 d ; 如果结果 = 0 则跳过 受影响的状态 无 位 : 机器码 : df ffff 说明 : 将寄存器 f 的内容减 1 如果 d 为 0, 结果存入 W 寄存器 如果 d 为 1, 结果存回寄存器 f 如果结果为 0, 则丢弃已经取指的指令而执行 一条 NOP 指令, 使该指令成为双周 期指令 字数 : 1 周期数 : 1(2) 示例 : HERE DECFSZ CNT, 1 GOTO LOOP CONTINUE 执行指令前 PC = 地址 (HERE) 执行指令后 CNT = CNT 1 ; 如果 CNT = 0, PC = 地址 (CONTINUE); 如果 CNT 0, PC = 地址 (HERE+1) DS41213C_CN 第 46 页 2006 Microchip Technology Inc.

49 GOTO 无条件跳转 语法 : [ 标号 ] GOTO k 操作数 : 0 k 511 操作 : k PC<8:0> STATUS<6:5> PC<10:9> 受影响的状态 无 位 : 机器码 : 101k kkkk kkkk 说明 : GOTO 是无条件跳转指令 将 9 位 立即数值装入 PC 位 <8:0> 从 STATUS<6:5> 装入 PC 的高位 GOTO 是一条双周期指令 字数 : 1 周期数 : 2 示例 : GOTO THERE 执行指令后 PC = 地址 (THERE) INCF f 增 1 语法 : [ 标号 ] INCF f, d 操作数 : 0 f 31 d [0,1] 操作 : (f)+ 1 ( 目标寄存器 ) 受影响的状态 Z 位 : 机器码 : df ffff 说明 : 将寄存器 f 的内容加 1 如果 d 为 0, 结果存入 W 寄存器 如果 d 为 1, 结果存回寄存器 f 字数 : 1 周期数 : 1 示例 : INCF CNT, 1 执行指令前 CNT = 0xFF Z = 0 执行指令后 CNT = 0x00 Z = 1 INCFSZ f 增 1, 为 0 则跳过 语法 : [ 标号 ] INCFSZ f, d 操作数 : 0 f 31 d [0,1] 操作 : (f) + 1 d ; 如果结果 = 0 则跳过 受影响的状态 无 位 : 机器码 : df ffff 说明 : 将寄存器 f 的内容加 1 如果 d 为 0, 结果存入 W 寄存器 如果 d 为 1, 结果存回寄存器 f 如果结果为 0, 则丢弃已经取指的指令而执行 一条 NOP 指令, 使该指令成为双周 期指令 字数 : 1 周期数 : 1(2) 示例 : HERE INCFSZ CNT, 1 GOTO LOOP CONTINUE 执行指令前 PC = 地址 (HERE) 执行指令后 CNT = CNT + 1 ; 如果 CNT = 0, PC = 地址 (CONTINUE); 如果 CNT 0, PC = 地址 (HERE +1) 2006 Microchip Technology Inc. DS41213C_CN 第 47 页

50 IORLW 立即数与 W 作逻辑或运算 语法 : [ 标号 ] IORLW k 操作数 : 0 k 255 操作 : (W).OR.(k) (W) 受影响的状态 Z 位 : 机器码 : 1101 kkkk kkkk 说明 : 将 W 寄存器的内容与 8 位立即数 k 进行逻辑或运算 结果存入 W 寄 存器 字数 : 1 周期数 : 1 示例 : IORLW 0x35 执行指令前 W = 0x9A 执行指令后 W = 0xBF Z = 0 IORWF W 和 f 作逻辑或运算 语法 : [ 标号 ] IORWF f, d 操作数 : 0 f 31 d [0,1] 操作 : (W).OR.(f) ( 目标寄存器 ) 受影响的状态 Z 位 : 机器码 : df ffff 说明 : W 寄存器与 f 寄存器进行逻辑或运 算 如果 d 为 0, 结果存入 W 寄 存器 如果 d 为 1, 结果存回寄存 器 f 字数 : 1 周期数 : 1 示例 : IORWF RESULT, 0 执行指令前 RESULT = 0x13 W = 0x91 执行指令后 RESULT = 0x13 W = 0x93 Z = 0 MOVF 将 f 的内容传送到目标寄存器 语法 : [ 标号 ] MOVF f, d 操作数 : 0 f 31 d [0,1] 操作 : (f) ( 目标寄存器 ) 受影响的状态 Z 位 : 机器码 : df ffff 说明 : 将寄存器 f 的内容传送到目标寄存 器 d 如果 d 为 0, 目标寄存器是 W 寄存器 如果 d 为 1, 目标寄存 器是文件寄存器 f 由于该指令会 影响状态标志位 Z, 因此 d 为 1 对 于测试文件寄存器很有用处 字数 : 1 周期数 : 1 示例 : MOVF FSR, 0 执行指令后 W = FSR 寄存器中的值 MOVLW 将立即数传送到 W 语法 : [ 标号 ] MOVLW k 操作数 : 0 k 255 操作 : k (W) 受影响的状态 无 位 : 机器码 : 1100 kkkk kkkk 说明 : 将 8 位立即数 k 装入 W 寄存器 字数 : 1 周期数 : 1 示例 : MOVLW 0x5A 执行指令后 W = 0x5A DS41213C_CN 第 48 页 2006 Microchip Technology Inc.

51 MOVWF 将 W 的内容传送到 f 语法 : [ 标号 ] MOVWF f 操作数 : 0 f 31 操作 : (W) (f) 受影响的状态 无 位 : 机器码 : f ffff 说明 : 将 W 寄存器中的数据传送到 寄存器 f 字数 : 1 周期数 : 1 示例 : MOVWF TEMP_REG 执行指令前 TEMP_REG = 0xFF W = 0x4F 执行指令后 TEMP_REG = 0x4F W = 0x4F NOP 空操作 语法 : [ 标号 ] NOP 操作数 : 无 操作 : 空操作 受影响的状态 无 位 : 机器码 : 说明 : 不执行任何操作 字数 : 1 周期数 : 1 示例 : NOP OPTION 装载 OPTION 寄存器 语法 : [ 标号 ] OPTION 操作数 : 无 操作 : (W) OPTION 受影响的状态 无 位 : 机器码 : 说明 : 将 W 寄存器的内容装入选项寄存 器 字数 : 1 周期数 : 1 示例 : OPTION 执行指令前 W = 0x07 执行指令后 OPTION = 0x07 RETLW 返回并将立即数传送到 W 语法 : [ 标号 ] RETLW k 操作数 : 0 k 255 操作 : k (W) ; TOS PC 受影响的状态 无 位 : 机器码 : 1000 kkkk kkkk 说明 : 将 8 位立即数 k 装入 W 寄存器 将栈顶内容 ( 返回地址 ) 装入程序 计数器 这是一条双周期指令 字数 : 1 周期数 : 2 示例 : CALL TABLE;W contains ;table offset ;value. ;W now has table ;value. TABLE ADDWF PC ;W = offset RETLW k1 ;Begin table RETLW k2 ; RETLW kn ; End of table 执行指令前 W = 0x07 执行指令后 W = k8 的值 2006 Microchip Technology Inc. DS41213C_CN 第 49 页

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

AN1083.book

AN1083.book 作 者 : 简 介 本 应 用 笔 记 说 明 了 无 传 感 器 无 刷 直 流 (Brushless DC, BLDC) 电 机 控 制 算 法, 该 算 法 采 用 dspic 数 字 信 号 控 制 器 (digital signal controller, DSC) 实 现 该 算 法 对 电 机 每 相 的 反 电 动 势 (back-electromotive Force,back-

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 750 khz 升 压 控 制 器 特 征 输 出 功 率 高 于 5W 输 出 电 压 从 3.3V 至 100V 以 上 750 khz 门 控 振 荡 器 开 关 频 率 对 电 池 供 电 或 宽 输 入 电 压 范 围 设 备, 占 空 比 可 调 输 入 电 压 范 围 :2.0V 至 5.5V 应 用 单 端 初 级 电 感 转 换 器 (SEPIC) 和 反 激 式 拓 扑 结 构

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

800 mA Fixed-Output CMOS LDO with Shutdown

800 mA Fixed-Output CMOS LDO with Shutdown I 2 C 7/8-7 128 129-8 256 257 4 R AB - 5 kω - 1 kω - 5 kω - 1 kω 75Ω - 5 ppm -7 C - 15 ppm I 2 C - 1 khz - 4 khz - 3.4 MHz / TCON 1.5V 2.5 ua 12.5V SCL SDA - 2.7V 5.5V - 1.8V 5.5V -3 db 2 MHz 5. kω -4

More information

30177t.book

30177t.book Microchip 开 发 系 统 订 购 指 南 2005 年 6 月 2006 Microchip Technology Inc. DS30177T_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在

More information

Microsoft Word - 論文終極板.doc

Microsoft Word - 論文終極板.doc 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 多 訊 息 生 理 訊 號 儲 存 單 元 軟 硬 體 研 製 The Development of Storage Unit for Multi-functional Physiological Signals 指 導 教 授 : 邱 創 乾 專 題 成 員 : 江 宸 菘 林 恩 全 陳 志 華 中 華 民 國 九

More information

Introduction to Utility Metering

Introduction to Utility Metering 2006 Microchip Technology Inc. DS39757A_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品 是

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

AN901.book

AN901.book dspic30f 在 无 传 感 器 BLDC 控 制 中 的 应 用 AN901 著 者 : 合 著 者 : 引 言 本 应 用 笔 记 描 述 了 一 种 完 全 可 行 且 高 度 灵 活 的 软 件 应 用, 使 用 dspic30f 来 控 制 无 传 感 器 无 刷 直 流 (brushless DC,BLDC) 电 机 此 软 件 将 dspic30f 外 设 广 泛 应 用 于 电

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

PIC PICC C 4K C <10% C PIC 11.3 MPLAB-IDE PICC PICC MPLAB-IDE MPLAB-IDE ICE2000 ICD2 PICC MPLAB-IDE IDE Project Set Language Tool Locations 11-1 11-1

PIC PICC C 4K C <10% C PIC 11.3 MPLAB-IDE PICC PICC MPLAB-IDE MPLAB-IDE ICE2000 ICD2 PICC MPLAB-IDE IDE Project Set Language Tool Locations 11-1 11-1 PIC C 11.1 PIC C C C PIC C C PC PC PC C C PIC C PIC Microchip PIC C PIC C Hitech CCS IAR Bytecraft Hitech PICC PIC Hitech PIC PICC-Lite PIC PIC16F84 PIC16F877 PIC16F628 Flash PICC-Lite PIC C PIC C Hitech-PICC

More information

ATmega8

ATmega8 产 品 特 性 高 性 能 低 功 耗 的 8 位 AVR 微 处 理 器 先 进 的 RISC 结 构 3 条 指 令 大 多 数 指 令 执 行 时 间 为 单 个 时 钟 周 期 32 个 8 位 通 用 工 作 寄 存 器 全 静 态 工 作 工 作 于 6 MHz 时 性 能 高 达 6 MIPS 只 需 两 个 时 钟 周 期 的 硬 件 乘 法 器 非 易 失 性 程 序 和 数 据

More information

水权定义 法律规定的用户获得水权的方式 法律规定的几种水相关许可 水利经济 电话 传真

水权定义 法律规定的用户获得水权的方式 法律规定的几种水相关许可 水利经济 电话 传真 美国犹他州水权管理制度及其对我国的启示 贾绍凤 曹 月 犹他州位于美国西部干旱区 水资源紧缺 是美国优先水权制度的发源地 具有完备而具体的水权管理制度 通过文献研究和利用电子邮件询问犹他州水权处官员的方法 分析 介绍美国犹他 州的水权管理制度 尤其是水权申请 登记 交易 水权管理机构设置 实施 信息查询等具体的制 度 以期为我国水权制度建设提供借鉴 水权制度 交易管理 犹他州 水利经济 电话 传真

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

????????

???????? 深度学习 项目简介 葛丽丽 译 西蒙菲沙大学 教育学院 富有想像力的教育研究中心 电邮 ierg-ed@sfu.ca 网址: http://www.ierg.net 基本思想 开学的第一个星期 每个学生都会接到一个任意布置的主题 从此将围绕这个主题 进行深度学习 这些主题可能包括 鸟 苹果 马戏团 火车 和 太阳系 等内容 在接下来的小学 初中以及高中阶段 除了基本课程以外 学 生将继续深入地学习指定的题目

More information

<4D6963726F736F667420576F7264202D20C9BEB7B1BECDBCF2B5A5C6ACBBFAC8EBC3C5B5BDBEABCDA8BDDAD1A12E646F63>

<4D6963726F736F667420576F7264202D20C9BEB7B1BECDBCF2B5A5C6ACBBFAC8EBC3C5B5BDBEABCDA8BDDAD1A12E646F63> 目 录 目 录...1 导 言...4 第 一 章 单 片 机 基 础...5 1.1. 什 么 是 单 片 机...5 1.2. 单 片 机 是 如 何 工 作 的...7 1.3. 单 片 机 与 电 脑 的 区 别... 11 1.4. 晶 振...13 1.5. 系 统 时 钟 和 周 期...14 1.6. 单 片 机 指 令 和 汇 编 语 言...17 1.7. RAM/ROM 的 作

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

137677_Eta_press Folder-cn用的.indd

137677_Eta_press Folder-cn用的.indd 美卓回收业务线废金属打包机林德曼 EP 系列金属打包机 ( Lindemann Eta Press ) 2 MINAO SAWES Eta Press 1920 1921 1922 1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939 1940 1941 1942 1943 1944

More information

AT89S51

AT89S51 AT89S51 中文资料 AT89S51 是美国 ATMEL 公司生产的低功耗, 高性能 CMOS8 位单片机, 片内含 4kbytes 的可系统编程的 Flash 只读程序存储器, 器件采用 ATMEL 公司的高密度 非易失性存储技术生产, 兼容标准 8051 指令系统及引脚 它集 Flash 程序存储器既可在线编程 ( ISP) 也可用传统方法进行编程及通用 8 位微处理器于单片芯片中,ATMEL

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... HT48R002/HT48R003 版本 : V1.11 日期 : 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构... 11 时序和流水线结构... 11 程序计数器... 12 堆栈... 12 算术逻辑单元

More information

HT48R05A-1/HT48R06A-1 Cost-Effective I/O 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...5 系统框线图...6

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 开发工具 Microchip开发工具快速指南 www.microchip.com/tools MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 www.microchip.com/dts

More information

Microsoft Word - 新正文.doc

Microsoft Word - 新正文.doc 发 光 二 极 管 LED 控 制 教 学 目 标 终 极 目 标 能 完 成 单 片 机 最 小 系 统 和 输 出 电 路 设 计, 能 应 用 C 语 言 程 序 完 成 单 片 机 输 入 输 出 控 制, 实 现 对 LED 控 制 的 设 计 运 行 及 调 试 促 成 目 标. 了 解 AT89S52 单 片 机 结 构 ; 2. 掌 握 AT89S52 单 片 机 的 引 脚 功 能

More information

# # # # # # # # #

# # # # # # # # # 实现政治问责的三条道路 马 骏 建立一个对人民负责的政府是现代国家治理的核心问题 实现这一目标 需要解决两个最基本的问题 谁来使用权力 如何使用权力 选举制度是解决前一问题相对较好的制度 而预算制度是解决第二个问题最好的制度 通过历史比较分析 可以总结出三条实现政治问责的道路 世纪的欧洲道路 从建国到进步时代改革的美国道路以及雏形初现的中国道路 这意味着 西方经验并不是唯一的实现政治问责的道路 相对于西方经验来说

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word - LM1095R-AppNote-V0.3.doc

Microsoft Word - LM1095R-AppNote-V0.3.doc LM1095R 液 晶 显 示 模 块 应 用 参 考 深 圳 市 拓 普 微 科 技 开 发 有 限 公 司 版 本 描 述 日 期 编 者 0.1 新 版 本 2005-10-28 淮 俊 霞 0.2 修 改 2.4: 双 图 层 的 灰 阶 显 示 2006-01-18 淮 俊 霞 修 改 参 考 程 序 中 的 错 字 0.3 修 改 2.6: 初 始 化 寄 存 器 的 设 置 修 改 参

More information

第 25 期 高 稳 定 性 数 字 钟 的 分 析 与 实 现 121 V/s,E s 是 输 入 信 号 固 有 的, 是 无 法 控 制 的, 而 E i 和 R t 是 在 电 路 设 计 时 可 控 或 部 分 可 控 的 ; 要 降 低 E i, 可 以 在 电 路 设 计 时 对 接

第 25 期 高 稳 定 性 数 字 钟 的 分 析 与 实 现 121 V/s,E s 是 输 入 信 号 固 有 的, 是 无 法 控 制 的, 而 E i 和 R t 是 在 电 路 设 计 时 可 控 或 部 分 可 控 的 ; 要 降 低 E i, 可 以 在 电 路 设 计 时 对 接 中 国 科 学 院 上 海 天 文 台 年 刊 2004 年 第 25 期 ANNALS OF SHANGHAIOBSERVATORY ACADEMIA SINICA No.25, 2004 高 稳 定 性 数 字 钟 的 分 析 与 实 现 黄 佩 诚 ( 中 国 科 学 院 上 海 天 文 台, 上 海 200030) 提 要 介 绍 一 种 高 稳 定 低 功 耗 多 功 能 小 型 化 的

More information

技术相关信息

技术相关信息 8-Bit 触摸按键式 Flash 单片机 BS45F2 版本 :V02 日期 :202/05/4 www.greenmcu.com 目录 目 录 第 章概述及其特性.... 特性..... CPU 特性.....2 周边特性....2 概述....3 方框图... 2.4 引脚图... 2.5 引脚说明... 3.6 极限参数... 3.7 直流电气特性... 4.8 交流电气特性... 4.9

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

文件编号

文件编号 GM7123 3 This specification are subject to be changed without notice. Any latest information please preview http://www.fosvos.com DATA SHEET GM7123 3 2010.07 +86-21-58998693/58994470 This specification

More information

PureSCM enhances competitive advantage with an integrated approach to IT service level management

PureSCM enhances competitive advantage with an integrated approach to IT service level management ఱઓ߅ ښ PureSCM 使用集成的 IT 服 务级别管理方法增强竞争 优势 行业 IT 服务 公司 PureSCM 员工 40 收入 400 万美元 ఱઓ ቲጓǖIT 服务 ǖpurescm Ꮛ ǖ40 ၃ ǖ400 万美元 ጓᇗ PureSCM 是一家服务级别 管理专业公司和软件开发 公司 办事处设在加拿 大 美国和哥伦比亚 ᄞᐵ 为了帮助客户解决他们的 业务挑战 PureSCM 需要 提供集成的

More information

70178C.book

70178C.book 数 据 手 册 28/44 引 脚 高 性 能 开 关 电 源 数 字 信 号 控 制 器 2007 Microchip Technology Inc. 初 稿 DS70178C_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip

More information

csu8rf311x用户手册

csu8rf311x用户手册 用户手册 带 12-bit ADC 的 8 位 RISC OTP MCU REV 1.0.3 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com Rev.1.0.3 第 1 页, 共 84 页 版本历史 版本历史

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

S3F94C8/S3F94C4

S3F94C8/S3F94C4 Rev. 0.0, Jan.2009 S3F94C8/S3F94C4 8-BIT CMOS MICROCONTROLLERS Revision 1.00 May 2010 用 户 手 册 YYYY Samsung Electronics Co., Ltd. All rights reserved. Rev. 0.0, Jan.2009 Important Notice The information

More information

目 录 涵 盖 内 容... 1 涵 盖 内 容... 1 初 始 安 装... 1 高 级 工 具 和 设 置... 6 Belkin 路 由 器 管 理... 7 使 用 浏 览 器 手 动 安 装... 8 将 计 算 机 添 加 到 网 络... 9 路 由 器 入 门... 11 前 面

目 录 涵 盖 内 容... 1 涵 盖 内 容... 1 初 始 安 装... 1 高 级 工 具 和 设 置... 6 Belkin 路 由 器 管 理... 7 使 用 浏 览 器 手 动 安 装... 8 将 计 算 机 添 加 到 网 络... 9 路 由 器 入 门... 11 前 面 疾速 宽带无线路由器 用户手册 F7D2301zh 8820zh00372 目 录 涵 盖 内 容... 1 涵 盖 内 容... 1 初 始 安 装... 1 高 级 工 具 和 设 置... 6 Belkin 路 由 器 管 理... 7 使 用 浏 览 器 手 动 安 装... 8 将 计 算 机 添 加 到 网 络... 9 路 由 器 入 门... 11 前 面 板... 11 后 面 板...

More information

00665A_2Book

00665A_2Book 使用 KEELOQ 产生跳码密码 作者 : 简介 Lucio Di Jasio Microchip Technology 本应用笔记的目的在于展示如何方便地使用 KEELOQ 跳码技术实现自动跳码密码发生器 / 键盘 通过使用 PIC12C508,HCS300 产生的跳码密码将被转换为 16 进制数组成的字符串 这一字符串随后通过键盘线传送到 PC, 这就好像依次按下了标准 PC/AT 键盘的按键一样

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

csu8rf322x用户手册

csu8rf322x用户手册 用户手册 带 12-bit ADC 的 8 位 RISC OTP MCU REV 1.1 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : Rev1.1 第 1 页, 共

More information

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... 8-Bit 触控按键式 Flash 单片机 BS83B08A-3/BS83B08A-4 BS83B12A-3/BS83B12A-4 BS83B16A-3/BS83B16A-4 版本 : V1.00 日期 : 目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10

More information

资质文件.cdr

资质文件.cdr 我们的服务 您的安全 建峰特纺五金制造有限公司 - 资质文件 营业执照 6 组织机构代码证 7 我们的服务 您的安全 建峰特纺五金制造有限公司 - 资质文件 国税登记证 8 地税登记证 9 我们的服务 您的安全 建峰特纺五金制造有限公司 - 资质文件 三 质量体系认证及其他认证资料 1 高新技术企业认定证书 2 ISO9001 2000质量管理体系认证 3 计量保证体系确认合格证书 4 ISO14001

More information

请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系

请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 MPLAB ICD 3 在 线 调 试 器 用 户 指 南 ( 用 于 MPLAB X IDE) DS50002081B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下,

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

MCP39F501 典 型 应 用 单 相 双 线 应 用 原 理 图 10 +3.3V 负 载 1 μf 0.1 μf 0.1 μf + - 0.5 m 1m 2m 4m 1k 33 nf 1k 33 nf I1+ I1- AV DD DV DD RESET REFIN/OUT+ UART_TX

MCP39F501 典 型 应 用 单 相 双 线 应 用 原 理 图 10 +3.3V 负 载 1 μf 0.1 μf 0.1 μf + - 0.5 m 1m 2m 4m 1k 33 nf 1k 33 nf I1+ I1- AV DD DV DD RESET REFIN/OUT+ UART_TX 带 计 算 和 事 件 检 测 功 能 的 单 相 功 率 监 视 IC 特 性 : 功 率 监 视 精 度 能 够 在 4000:1 的 动 态 范 围 内 实 现 0.1% 的 误 差 快 速 校 准 程 序 可 编 程 事 件 通 知, 例 如 过 流 电 压 跌 落 和 浪 涌 保 护 512 字 节 用 户 可 访 问 EEPROM( 通 过 页 读 / 写 命 令 实 现 ) 非 易 失

More information

Si53xx Family Reference Manual (Chinese)

Si53xx Family Reference Manual (Chinese) 任 意 频 率 精 准 时 钟 A NY-FREQUENCY PRECISION CLOCKS Si5316, Si5319, Si5322, Si5323, Si5324, Si5325, Si5326, Si5327, Si5365, Si5366, Si5367, Si5368, Si5369, Si5374, Si5375 系 列 参 考 手 册 FAMILY REFERENCE MANUAL

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

! %! &!! % &

! %! &!! % & 张海峰 姚先国 张俊森 借鉴 的有效教育概念 本文利用 年间的中国省级面板数据估计了平均教育年限 教育数量 和平均师生比率 教育质量 对地区 劳动生产率的影响 本文的实证分析结果表明 以师生比率衡量的教育质量对劳动生产 率有显著且稳健的正效应 在均值处师生比率每上升一个标准差 有助于提高地区劳动生产率约 教育数量对劳动生产率的影响大小部分取决于教育质量的高低 教育质量越高教育数量对劳动生产率的促进效应越大

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...1

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...1 BS84B06A-3 版本 : V1.30 日期 : 2016-06-30 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...19 时序和流水线结构...

More information

发展党员材料填写参考(上网).doc

发展党员材料填写参考(上网).doc 华 中 科 技 大 学 党 员 发 展 材 料 填 写 参 考 中 共 华 中 科 技 大 学 委 员 会 组 织 部 2010 年 3 月 31 日 目 录 1 党 员 发 展 材 料 一 览 表... 1 2 入 党 志 愿 书 填 写 参 考... 2 3 申 请 入 党 积 极 分 子 培 养 考 察 登 记 表 填 写 参 考... 13 4 华 中 科 技 大 学 发 展 党 员 综 合

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

目 錄 摘 要 與 心 得 (1) 第 一 章 : 緒 論 (2) 1.1 研 究 動 機 1.2 研 究 目 的 1.3 系 統 流 程 圖 1.4 系 統 設 計 分 析 1.5 前 人 研 究 成 果 之 回 顧 (4) 第 二 章 : 研 究 材 料 與 方 法 (5) 2.1 材 料 清

目 錄 摘 要 與 心 得 (1) 第 一 章 : 緒 論 (2) 1.1 研 究 動 機 1.2 研 究 目 的 1.3 系 統 流 程 圖 1.4 系 統 設 計 分 析 1.5 前 人 研 究 成 果 之 回 顧 (4) 第 二 章 : 研 究 材 料 與 方 法 (5) 2.1 材 料 清 專 題 製 作 期 末 書 面 報 告 PIC16F639 的 PKE 系 統 之 低 頻 應 答 器 設 計 指 導 老 師 : 彭 嘉 美 博 士 班 級 : 電 四 勤 學 號 :9441068 學 生 : 張 嘉 宏 目 錄 摘 要 與 心 得 (1) 第 一 章 : 緒 論 (2) 1.1 研 究 動 機 1.2 研 究 目 的 1.3 系 統 流 程 圖 1.4 系 統 設 計 分 析

More information

Chapter 1

Chapter 1 8 位 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2016.3 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2016 义 隆 电 子 股 份 有

More information

2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月

2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月 重 载 荷 静 液 传 动 装 置 系 列 1 变 量 柱 塞 泵 (ACA) 和 马 达 (ACE) 定 量 马 达 (HHD) 峰 值 压 力 480 bar (7000 psi) 排 量 64-125 cm 3 /r(3.9-7.6 in 3 /r) 2 伊 顿 重 型 静 液 传 动 装 置 目 录 E-TRHD-MC001-C 2011 年 7 月 目 录 重 载 荷 静 液 传 动 ACA:

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

é ê

é ê 廖光洪 朱小华 杨成浩 徐晓华 基于南海 年夏季调查航次诊断计算的流函数场 选取越南以东偶极子发生海域 进行 不同的声层析观测站位设置实验 模拟计算声线传播时间信息 然后应用基函数重建方法进行了 流函数场的模拟反演研究 讨论了不同随机观测误差对反演结果的影响 研究结果表明该方法是 可行的 在所选取的约 海域内 在观测海域外围配置 个声层析观测站位就能够很好地重构原流函数场 空间分辨率约为 可以分辨模拟海域中尺度涡场结构

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

untitled

untitled 2 PLC(Programmable Logic Controller) 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 1-1 FX2N CHAPTER 01 3 1. FX2N M I/O I/O I/O M MR AC/DC MS SSR AC MT DC 2. FX2N E E 3. I/O FX N E / DC24V FX N I/O I/O 8ER 4 4 EX

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

CY8C20234, CY8C20334, CY8C20434, CY8C20534 PSoC(R) Mixed-Signal Array

CY8C20234, CY8C20334, CY8C20434, CY8C20534 PSoC(R) Mixed-Signal Array PSoC 5.0% 6/12 MHz CapSense 32 khz Capsense IO GPIO Harvard CMOS M8C 12 MHz 28 GPIO 2.4V 5.25V 1 IO -40 C +85 C 3.0V 1 20mA 1 5mA. 8K 50000 / 512 SRAM IO ISSP I 2 C: 50 khz 100 khz 400 khz SPI: 46.9 khz

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

从制度视角看马来西亚华人的参政空间 朱陆民 华人参政是华人维护自身政治 经济利益的必经之路 基于马来西亚历史 文化传统的主要制度结构从根本上影响和制约着华人参政的广度和深度 本文从新制度主义政治学的视角对马来西亚影响 制约华人参政的主要制度进行了剖析 由此揭示了华人在马来西亚的参政空间 作者认为 华人的政治参与是一个非常复杂的问题 制度的视角或许并不能解释该问题的全部 但制度的性质从根本上决定着华人参政的前景和命运

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

1

1 第 7 章 債 券 市 場 即 席 思 考 7.1 您 認 為 在 何 種 環 境 下, 會 提 高 企 業 發 行 浮 動 利 率 債 券 的 誘 因? 試 舉 例 之 思 考 方 向 : 利 率 下 跌 的 環 境 您 認 為 附 認 股 權 公 司 債 與 可 轉 換 公 司 債 有 何 異 同? 思 考 方 向 : 都 具 有 買 權 的 性 質, 惟 附 認 股 權 公 司 債 中 的 認

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information