Microsoft Word - 第1章 MCS-51单片机基础.docx

Size: px
Start display at page:

Download "Microsoft Word - 第1章 MCS-51单片机基础.docx"

Transcription

1 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域 硬件结构与工作 原理 复位系统 存储系统和中断系统等内容

2 概述 单片微型计算机简称为单片机, 又称为微控制器, 是微型计算机的一个重要分支 自单片机出现至今, 单片机技术已走过了近 30 年的发展路程 纵观 30 年来单片机发展历程可以看出, 单片机技术的发展以微处理器 (MPU) 技术及超大规模集成电路技术的发展为先导, 以广泛的应用领域拉动表现出较微处理器更具个性的发展趋势 随着电子技术的发展, 大规模 超大规模集成电路和制造工艺的进一步提高, 单片机以其高可靠性 高性能比 低电压 低功耗等一系列优点, 广泛应用于工业控制系统 数据采集系统 智能化仪器仪表 智能型家用电器等领域 MCS-51 单片机硬件结构 虽然单片机在形态上只是一块芯片, 但它已具有了微型计算机的组成结构和功能 在 MCS-51 单片机中除了有 CPU 存储器和并行输入/ 输出接口外, 还包含由定时器 / 计数器 串行 I/O 接口和中断管理逻辑等部件 MCS-51 单片机的基本组成 MCS-51 单片机是由 8 位 CPU 存储器 串并行 I/O 口 定时器 / 计数器 中断系统 振荡器和时钟系统等组成, 各部分之间通过系统总线相连 如图 1.1 所示为 MCS-51 单片机的系统功能模块框图 AT89S52 单片机的引脚图及各引脚功能说明 由于本书所有的例程均是基于 AT89S52 单片机开发的, 这里着重介绍 AT89S52 各个引脚及功能 这些关系到在后面学习例程时对原理图的理解, 读者要特别重视 而对于存储器 定时器 中断系统等部分内容, 读者可参考介绍 MCS-51 单片机的相关书籍 AT89S52 是 Atmel 公司生产的一种低功耗 高性能 CMOS 8 位微控制器, 具有 8 位在系统可编程 Flash 存储器 AT89S52 使用 Atmel 公司高密度非易失性存储器技术制造, 与工业 80C51 产品指令和引脚完全兼容 片上 Flash 允许程序存储器在系统可编程, 也适于常规编程器 在单芯片上, 拥有灵巧的 8 位 CPU 和在系统可编程 Flash, 使得 AT89S52 为众多嵌入式控制应用系统提供高灵活 超有效的解决方案 AT89S52 具有 PDIP PLCC TQFP3 种封装形式用于不同的使用场合 各封装引脚定义如图 1.2 所示 振荡器及定时电路 ROM RAM 2 个 16 位定时器 / 计数器 8051 CPU 64K 总线扩展控制 可编程 IO 可编程串行口 图 1.1 MCS-51 单片机的系统功能模块框图 2

3 图 1.2 AT89S52 引脚图 下面简单介绍 AT89S52 各引脚的功能, 更多信息请查阅 Atmel 公司的技术文档 V CC : 电源 GND: 地 P0 口 :P0 口是一个 8 位漏极开路的双向 I/O 口 作为输出口, 每位能驱动 8 个 TTL 逻辑电平 对 P0 端口写 1 时, 引脚用做高阻抗输入 当访问外部程序和数据存储器时,P0 口也被作为低 8 位地址 / 数据复用 在这种模式下,P0 具有内部上拉电阻 在 Flash 编程时,P0 口也用来接收指令字节 ; 在程序校验时, 输出指令字节 在程序校验时, 需要外部上拉电阻 P1 口 :P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P1 输出缓冲器能驱动 4 个 TTL 逻辑电平 当对 P1 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 当作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (IIL) 此外,P1.0 和 P1.2 分别作为定时器 / 计数器 2 的外部计数输入 (P1.0/T2) 和定时器 / 计数器 2 的触发输入 (P1.1/T2EX), 具体如表 1-1 所示 在 Flash 编程和校验时,P1 口接收低 8 位地址字节 P2 口 :P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个 TTL 逻辑电平 对 P2 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 当作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (IIL) 在访问 表 1-1 P1 口部分管脚的第二功能 引脚号 第二功能 P1.0 T2( 定时器 / 计数器 T2 的外部计数输入 ), 时钟输出 P1.1 T2EX( 定时器 / 计数器 T2 的捕捉 / 重载触发信号和方向控制 ) P1.5 MOSI( 在系统编程用 ) P1.6 MISO( 在系统编程用 ) P1.7 SCK ( 在系统编程用 ) 3

4 外部程序存储器或用 16 位地址读取外部数据存储器 ( 如执行 时,P2 口送出高 8 位地址 在这种应用中,P2 口使用很强的内部上拉发送 1 在使用 8 位地址 ( 如 访问外部数据存储器时,P2 口输出 P2 锁存器的内容 在 Flash 编程和校验时,P2 口也接收高 8 位地址字节和一些控制信号 P3 口 :P3 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个 TTL 逻辑电平 对 P3 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 当作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (IIL) P3 口也作为 AT89S52 特殊功能 ( 第二功能 ) 使用, 如表 1-2 所示 在 Flash 编程和校验时,P3 口也接收一些控制信号 表 1-2 P3 口部分管脚的第二功能 引脚号第二功能引脚号第二功能 P3.0 RXD( 串行输入 ) P3.4 T0( 定时器 0 外部输入 ) P3.1 TXD( 串行输出 ) P3.5 T1( 定时器 1 外部输入 ) P3.2 INT0( 外部中断 0) P3.6 WR( 外部数据存储器写选通 ) P3.3 INT0( 外部中断 0) P3.7 RD( 外部数据存储器写选通 ) RST: 复位输入 在晶振工作时,RST 脚持续两个机器周期高电平将使单片机复位 看门狗计时完成后,RST 脚输出 96 个晶振周期的高电平 特殊寄存器 AUXR( 地址 8EH) 上的 DISRTO 位可以使此功能无效 在 DISRTO 默认状态下, 复位高电平有效 ALE/PROG: 地址锁存控制信号 (ALE) 在访问外部程序存储器时, 锁存低 8 位地址的输出脉冲 在 Flash 编程时, 此引脚 (PROG) 也用做编程输入脉冲 在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲, 可用来作为外部定时器或时钟使用 然而, 特别强调, 在每次访问外部数据存储器时,ALE 脉冲将会跳过 如果需要, 通过将地址为 8EH 的 SFR 的第 0 位置 1,ALE 操作将无效 这一位置 1,ALE 仅在执行 MOVX 或 MOVC 指令时有效 否则, ALE 将被微弱拉高 这个 ALE 使能标志位 ( 地址为 8EH 的 SFR 的第 0 位 ) 的设置对微控制器处于外部执行模式下无效 PSEN: 外部程序存储器选通信号 (PSEN) 是外部程序存储器选通信号 当 AT89S52 从外部程序存储器执行外部代码时,PSEN 在每个机器周期被激活两次, 而在访问外部数据存储器时,PSEN 将不被激活 EA/V PP : 访问外部程序存储器控制信号 为使能从 0000H 到 FFFFH 的外部程序存储器读取指令,EA 必须接 GND 为了执行内部程序指令,EA 应该接 V CC 在 Flash 编程期间,EA 也接收 12 伏 V PP 电压 XTAL1: 振荡器反相放大器和内部时钟发生电路的输入端 XTAL2: 振荡器反相放大器的输出端 MCS-51 单片机的复位 复位是单片机的初始化工作, 其作用是使 CPU 和系统中的其他部件都处于一个确定的初始状态, 并 从这个状态开始工作 MCS-51 的 RST 引脚是复位信号的输入端, 高电平有效, 持续时间要在 24 个时钟周期以上 单片机 复位后, 其内部各寄存器的状态如表 1-3 所示 表 1-3 复位后片内各专用寄存器的值 寄存器 内容 寄存器 内容 PC 00H TMOD 00H A 00H TCON 00H B 00H TH0 00H 4

5 PSW 00H TL0 00H SP 07H TH1 00H DPTR 0000H TL1 00H P0~P3 0FFH SCON 00H IP (XXX00000)B SBUF 不变 IE (0XX00000)B PCON (0XXXXXXX)H 复位期间, 片内 RAM 的状态不受复位的影响 ; 复位后,PC 的值为 0000H, 所以单片机总是从起始地 址 0000H 处开始执行程序 当单片机运行出错或进入死循环时可按复位键重新启动 MCS-51 的存储系统 MCS-51 系列单片机在存储结构上采用哈佛 (Har-Vard) 结构, 即将程序存储器和数据存储器分开, 它们有各自的寻址机构和寻址方式 MCS-51 在物理上有 4 个存储器地址空间 : 片内程序存储器 片外程序存储器 片内数据存储器和片外数据存储器 从逻辑上划分,MCS-51 有 3 个存储器地址空间 : 片内外统一编址的 64KB 的程序存储器地址空间 (0000-FFFFH) 128B 或 256B(52 系列 ) 的片内数据存储器地址空间和 64KB 的片外数据存储器地址空间 片内 128B 的特殊功能寄存器 (SFR) 在访问这 3 个不同的逻辑空间时, 应采用不同形式的指令 如图 1.4 所示为 MCS-51 系列存储器的地址空间分配图 FFFFH FFFFH 60KB 外部 ROM 1000H 64KB 外部 RAM 0FFFH 0FFFH FFH 4KB 4KB 内部 ROM 外部 ROM 80H 7FH 特殊功能寄存器 (EA=1) (EA=0) 0000H 0000H 00H 0000H 程序存储器内部数据存储器外部数据存储器 图 1.4 MCS-51 系列存储器的地址空间分配图 MCS-51 程序存储器 程序存储器用于存放程序和表格数据 MCS-51 具有 16 位的程序计数器 PC 和 16 位的地址总线, 使 64KB 的程序存储器空间连续统一 当 EA 脚接高电平时, 程序从内部 ROM 开始执行 当 PC 值超过内部地址空间 (0FFFH) 时, 会自动转到外部程序存储器的 1000H~FFFFH 地址空间上去执行程序 当 EA 脚接低电平时, 程序从外部 ROM 开始执行 64KB 程序存储器中有 7 个入口地址具有特殊功能 0000H 单元, 它是程序的起始地址, 一般在该单元中设置一条绝对转移指令, 使之转向主程序处执行 因此,0000H~0002H 单元被保留用于初始化 其余 6 个特殊功能的入口地址分别对应 6 种中断源的中断服务程序入口地址, 如表 1.4 所示 一般在这些入口地址处放一条无条件转移指令, 使之转移到相应的中断服务程序中执行 表 1.4 中断向量入口地址表中断源入口地址中断源入口地址 5

6 外部中断 H 定时计数器 1 溢出 001BH 专业始于专注卓识源于远见 定时计数器 0 溢出 000BH 串行口中断 0023H 外部中断 H 定时计数器 2 溢出或 T2EX 输入负跳变 002BH MCS-51 数据存储器 数据存储器空间由内部和外部存储器空间组成 内部和外部数据存储器地址空间存在重叠, 通过不同指令来区分 在访问内部 RAM 时, 用 MOV 类指令 ; 在访问外部 RAM 时, 用 MOVX 类指令 MCS-51 系列单片机内部数据存储空间大小为 256B, 但实际提供给用户使用的 RAM 为 128B 这 128B 的 RAM 从功能上又分为 3 个不同的区域 : 工作寄存器区 位寻址区和用户区, 如图 1.5 所示 图 内部 RAM 功能划分图 1. 工作寄存器区 00H~1FH 是 32 个单元的通用工作寄存器区, 共有 4 组, 每组包含 8 个通用工作寄存器 R0~R7 可通过设置程序状态字 PSW 的第 3 4 位 (RS0 RS1) 来选择使用哪个工作寄存器组 CPU 复位后, 选中第 0 组工作寄存器 2. 位寻址区 20H~2FH 是 16 个单元的位寻址区, 这 16 个单元既可进行字节寻址, 又可进行位寻址 这些单元和 SFR 区中可位寻址的专用寄存器一起构成了布尔 ( 位 ) 处理器的数据存储空间 3. 用户区 用户区为一般的数据缓冲区, 即内部 RAM 中 30H~7FH 共 80 个单元, 这些单元只能按字节寻址 另外, 堆栈区通常也设置在这个区域内 特殊功能寄存器 (SFR) 在 MCS-51 系列单片机中, 共有 26 个特殊功能寄存器 (SFR Special Functional Register) 每个 SFR 占用一个 RAM 单元, 它们离散的分布在 80H~FFH 地址范围内, 不为 SFR 占用的 RAM 单元实际上并不存在, 访问它们是没有意义的, 如表 1-5 所示 6

7 表 1-5 特殊功能寄存器一览表寄存器符号名称物理地址寄存器符号名称物理地址 ACC 累加器 0E0H TCON 定时 / 计数器控制寄存器 88H 0B B 寄存器 0F0H TH0 定时 / 计数器 0( 高字节 ) 8CH PSW 程序状态字 0D0H TL0 定时 / 计数器 0( 低字节 ) 8AH SP 堆栈指针 81H TH1 定时 / 计数器 1( 高字节 ) 8DH DPTR 数据指针 ( 分 DPH 和 DPL) 83H,82H TL1 定时 / 计数器 1( 低字节 ) 8BH P0 P0 口锁存器 80H TH2 定时 / 计数器 2( 高字节 ) 0CDH P1 P1 口锁存器 90H TL2 定时 / 计数器 2( 低字节 ) 0CCH P2 P2 口锁存器 0A0H RCAP2H 定时 / 计数器 2 陷阱寄存器 ( 高 字节 ) P3 P3 口锁存器 0B0H RCAP2L 定时 / 计数器 2 陷阱寄存器 ( 低 字节 ) 0CBH 0CAH IP 中断优先级控制寄存器 0B8H SCON 串行控制寄存器 98H IE 中断允许控制寄存器 0A8H SBUF 串行数据缓冲器 99H TMOD T2CON 定时 / 计数器方式控制寄存器 定时 / 计数器 T2 控制寄存器 89H PCON 电源控制寄存器 97H 0C8H 在这些 SFR 中, 用户可以通过直接寻址指令对它们进行字节存取, 也可以对位址的 11 个字节寄存器中的每一位进行位寻址 MCS-51 的中断系统 MCS-51 及其 51 子系列的其他成员都具有相同的中断结构 8051 有 5 个中断源 :2 个外部中断源 INT0 和 INT1,2 个片内定时器 / 计数器溢出中断源,1 个片内串行口中断源 这 5 个中断源的优先级分为两级 : 高级中断和低级中断 可由软件设定其中任何一个中断源的优先级, 可实现两级中断服务程序嵌套 MCS-51 的中断源 中断源是指引起中断的设备或部件, 或发出中断请求信号的源泉 弄清中断源有助于理解中断的概念, 并灵活运用 CPU 的中断功能 MCS-51 的中断源有如下几种 外部中断源 : 外部中断 0( INT0 ) 外部中断 1( INT1) 请求, 均有两种触发方式, 分别通过 IT0(TCON.O) 和 IT1(TCON.2) 来决定是电平触发方式还是边沿触发方式 一旦输入信号有效, 则向 CPU 申请中断, 并分别将中断标志 IE0 IE1 置 1 定时器溢出中断源 : 定时器溢出中断由内部定时器中断源产生, 因此它们属于内部中断 当定时器 T0 T1 产生溢出时,T0 T1 中断请求标志 TF0 TF1 置 1, 请求中断处理 串行口中断源 : 串行口由内部串行口中断源产生, 因此也是一种内部中断 串行口中断分为串行口发送中断和串行口接收中断两种 当通过串行口发送或接收完一帧串行数据时, 串行口中断请求标志 TI 或 RI 置 1, 请求中断处理 7

8 1.5.2 MCS-51 的中断请求标志 中断请求是通过定时器 / 计数器控制寄存器 TCON 和串行控制寄存器 SCON 的有关位来标识的, 只要判别这些位的状态就能确定有无中断请求及中断的来源 1.TCON 的中断标志 定时器控制寄存器的格式如图 1.6 所示 图 1.6 定时器控制寄存器的格式 IT0: 选择外部中断 0( INT0 ) 触发方式控制位 当 IT0=0 时, 为电平触发方式 ; 当 IT0=1 时, 为边 沿触发方式 IE0: 外部中断 0( INT0 ) 请求标志位 当 IE0=1 时, 外部中断 0 向 CPU 请求中断 IT1: 选择外部中断 1( INT1 ) 触发方式控制位 当 IT1=0 时, 为电平触发方式 ; 当 IT1=1 时, 为边沿触发方式 IE1: 外部中断 1( INT1 ) 请求标志位 当 IE1=1 时, 外部中断 1 向 CPU 请求中断 TF0: 片内定时器 T0 溢出中断请求标志, 位地址为 8DH 当定时器 T0 产生溢出中断时,TF0 由硬件自动置 1; 该中断被 CPU 响应后,TF0 被硬件复位 TF1: 片内定时器 T0 溢出中断请求标志, 位地址为 8FH 当定时器 T1 产生溢出中断时,TF1 由硬件自动置 1; 该中断被 CPU 响应后,TF1 被硬件复位 2.SCON 的中断标志 SCON 是串行口控制寄存器, 与中断有关的是它的低两位 TI 和 RI TI: 串行口发送中断标志位, 每发送完一个串行帧数据, 由硬件将 TI 置 1 在 CPU 响应中断后, 不能清除 TI,TI 必须由软件清除 RI: 串行口发送中断标志位, 每接收完一个串行帧数据, 由硬件将 RI 置 1 在 CPU 响应中断后, 不能清除 RI,RI 必须由软件清除 TCON 和 SCON 各位清 0, 应用时一定要注意 MCS-51 的中断控制 8051 单片机的中断控制主要是实现中断的开放 屏蔽和中断的优先级的管理功能 中断控制的设定是通过对中断允许寄存器和中断优先级寄存器的编程来实现 1. 对中断允许的控制 中断允许寄存器的字节地址为 A8H, 其格式如图 1.7 所示 8

9 图 1.7 中断允许寄存器的格式 EA:CPU 中断总允许位 当 EA=1 时,CPU 开放中断 ; 当 EA=0 时,CPU 屏蔽所有中断请求 ES: 串行口中断允许位 当 ES=1 时, 允许串行口中断 ; 当 ES=0 时, 禁止串行口中断 ET1: 定时器 T1 溢出中断允许位 当 ET1=1 时, 允许 T1 中断 ; 当 ET1=0 时, 禁止 T1 中断 EX1: 外部中断 1 中断允许位 当 EX1=1 时, 允许外部中断 1 中断 ; 当 EX1=0 时, 禁止外部中断 1 中断 ET0: 定时器 T0 溢出中断允许位 当 ET0=1 时, 允许 T0 中断 ; 当 ET0=0 时, 禁止 T0 中断 EX0: 外部中断 0 中断允许位 当 EX0=1 时, 允许外部中断 0 中断 ; 当 EX0=0 时, 禁止外部中断 0 中断 系统复位后,IE 各位均被清 0, 即处于禁止所有中断的状态, 可在系统初始化程序中对 IE 寄存器编程 2. 对中断优先级的控制 8051 单片机中断系统具有两级中断优先级管理 可通过对中断优先级寄存器 IP 的设置, 选择高优先级或低优先级中断, 并可实现二级中断嵌套 中断优先级寄存器 IP 的字节地址为 B8H, 其格式如图 1.8 所示 图 1.8 中断优先级寄存器的格式 PS: 串行口中断优先级控制位 当 PS=1 时, 高优先级 ; 当 PS=0 时, 低优先级 PT1: 片内定时器 T1 中断优先级控制位 当 PT1=1 时, 高优先级 ; 当 PT1=0 时, 低优先级 PX1: 外部中断 1 优先级控制位 当 PX1=1 时, 高优先级 ; 当 PX1=0 时, 低优先级 PT0: 片内定时器 T0 中断优先级控制位 当 PT0=1 时, 高优先级 ; 当 PT0=0 时, 低优先级 PX0: 外部中断 0 优先级控制位 当 PX0=1 时, 高优先级 ; 当 PX0=0 时, 低优先级 当系统复位后,IP 寄存器被清零, 即将 5 个中断源均设为低优先级 如果同一级的几个中断源同时向 CPU 申请中断,CPU 便通过内部硬件逻辑按自然优先级决定响应顺序 各中断源按自然优先级由高到低的顺序为 : 外部中断 0(IE0) 定时器 T0 溢出中断 (TF0) 外部中断 1(IE1) 定时器 T1 溢出中断 (TF1) 串行口中断(RI+TI) MCS-51 的中断处理过程 中断处理过程一般分为 3 个阶段 : 中断响应 中断处理 中断返回 1. 中断响应 在中断响应阶段,CPU 要完成中断服务以前的所有准备工作 1) 中断响应的条件有中断源发出中断申请 中断总允许位 EA=1, 即 CPU 开放中断 请求中断的中断源的中断允许位置 1 2) 中断响应过程 9

10 如果中断响应的条件满足, 且不存在中断封锁的情况, 则 CPU 将响应中断, 进入中断响应周期 CPU 将完成以下操作 : 将响应的优先级状态寄存器置 1 由硬件清除响应的中断请求标志 执行一条由硬件生成的长调用指令 LCALL 2. 中断服务与返回 中断服务的过程为中断服务程序从入口地址开始执行, 一直到返回指令 RETI 为止 3. 中断请求的撤除 CPU 响应中断请求后, 在中端返回前, 该中断请求信号必须撤除, 否则会引起另外一次中断 联系方式 集团官网 : 嵌入式学院 : 移动互联网学院 : 企业学院 : 物联网学院 : 研发中心 :dev.hqyj.com 集团总部地址 : 北京市海淀区西三旗悦秀路北京明园大学校内华清远见教育集团 北京地址 : 北京市海淀区西三旗悦秀路北京明园大学校区, 电话 : /5 上海地址 : 上海市徐汇区漕溪路 250 号银海大厦 11 层 B 区, 电话 : 深圳地址 : 深圳市龙华新区人民北路美丽 AAA 大厦 15 层, 电话 : 成都地址 : 成都市武侯区科华北路 99 号科华大厦 6 层, 电话 : 南京地址 : 南京市白下区汉中路 185 号鸿运大厦 10 层, 电话 : 武汉地址 : 武汉市工程大学卓刀泉校区科技孵化器大楼 8 层, 电话 : 西安地址 : 西安市高新区高新一路 12 号创业大厦 D3 楼 5 层, 电话 : 广州地址 : 广州市天河区中山大道 268 号天河广场 3 层, 电话 :

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

at89S52.doc

at89S52.doc 主要性能 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作 :0Hz~33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器 / 计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 掉电标识符 8 位微控制器 8K 字节在系统可编程 Flash R 功能特性描述

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft PowerPoint - 第一章(07版).PPT

Microsoft PowerPoint - 第一章(07版).PPT 单片机原理及综合设计 嵌入式微控制器的开发入门 微机及单片机原理原理实验室综合楼 419 室 大连理工大学电信学院陈育斌 1 ( 理论课 ) 上课时间表 (10~17 周 ) 周次 星期 一 二 三 四 五 六 日 1 至 6 时间地点 大五节综 309 (18:00) 大连理工大学电信学院陈育斌 2 课程总体安排 总学时 : 理论课 + 实验课 =36 学时 其中 : 理论课 :24: 学时 ;

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

AT89S51

AT89S51 AT89S51 中文资料 AT89S51 是美国 ATMEL 公司生产的低功耗, 高性能 CMOS8 位单片机, 片内含 4kbytes 的可系统编程的 Flash 只读程序存储器, 器件采用 ATMEL 公司的高密度 非易失性存储技术生产, 兼容标准 8051 指令系统及引脚 它集 Flash 程序存储器既可在线编程 ( ISP) 也可用传统方法进行编程及通用 8 位微处理器于单片芯片中,ATMEL

More information

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378>

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378> AVR 单片机 C 语言开发入门与典型实例 ( 修订版 ) 作者 : 华清远见 第 1 章 AVR 单片机概述 本章目标 本章主要介绍 AVR 单片机的发展历史及其主要应用, 并重点介绍了 ATmega128(L) 单片机, 分析其结构 主要特点 性能封装和引脚定义 本章主 要内容包括以下两个方面 AVR 单片机及其发展 ATmega128(L) 单片机简介 1.1 AVR 单片机及其发展 1983

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58 PHILIPS P89C5x 单片机数据手册 1 概述 P89C51/89C52/89C54/89C58 含有非易失 FLASH 并行可编程的程序存储器所有器件都是通过引导装载器串行编程 ISP 见 P89C51RC+/89C51RD+ 数据手册种系列单片机采用先进 CMOS 工艺的单片位微控制器是 80C51 微控制器系列的派生和 80C51 指令相同特点 FLASH 器件选择表 ROM/EPRO

More information

<4D F736F F D20B5DA32D5C220416E64726F6964BFAAB7A2BBB7BEB3B4EEBDA82E646F6378>

<4D F736F F D20B5DA32D5C220416E64726F6964BFAAB7A2BBB7BEB3B4EEBDA82E646F6378> Android 应用程序开发与典型案例 作者 : 华清远见 第 2 章 Android 开发环境搭建 本章简介 本章主要介绍在 Windows 环境下,Android 开发环境的搭建步骤及注意事项, 包括 JDK 和 Java 开发环境的安装和配置 Eclipse 的安装 Android SDK 和 ADT 的安装和配置等 ; 同时介绍了 Android 开发的基本步骤 2.1 Android 开发环境的安装与配置

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器 微机原理与接口技术 -- 历年考研真题复习资料 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成, 输入 / 输出 (I /O) 接口和系统总线组成的, 即中央处理器 CPU( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口, 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 华中科技大学微机原理与接口技术一

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

电子驿站技术文档

电子驿站技术文档 AT89C2051 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C2051 中文资料 AT89C2051 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 2k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 128 bytes 的随机存取数据存储器 (RAM), 器件采用

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

一、

一、 芯片手册 PL3105 更改日期 :2003/09 版本 :1.1 北京福星晓程电子科技股份有限公司 一 PL3105 的特点 10MIPS @ 10MHz OSC,8/16 位高性能低功耗微处理器 8051 兼容内核 16Kbytes E 2 PROM 片内程序存储器, 支持 ISP 1Kbytes SRAM 片内数据存储器 严格的 1T 指令周期 256Byte SRAM 片内数据储存器由电源和备用电池双重供电,

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

MCS FCC

MCS FCC F&B 100% MCS FCC6000...1...2...2...3...4...5...7...8...18 HIGH LOW OUT MAN COM1 COM2 PRINT ERROR MONTH.DATA HOUR.MINUTE MAN LOW HIGH OUT HIGH LOW OUT MAN 0 0 1-0 1 1 2 5 4. 5 0 0 1-0 2 2 5 4 6. 9 0 0 1-0

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖 8051 單晶片 C 語言設計實務 2-1 2-1 接腳說明 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RST (RXD) P3.0 (TXD) P3.1 (INT0) P3.2 (INT1) P3.3 (T0) P3.4 (T1) P3.5 (WR) P3.6 (RD) P3.7 XTA L2 XTA L1 VSS 1 2 3 4 5 6 7 8 9 10 11

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

MPC89LE51_52_53_54_58_515

MPC89LE51_52_53_54_58_515 5 8 bit micro controller 1 特性...2 2 引脚...3 2.1 引脚图...3 2.1 引脚定义...4 3 方框图...6 4 特殊功能寄存器...7 辅助寄存器 AUXR 和 AUXR1...8 5 存储器...9 5.1 RAM...9 MPC89x54/58/515 RAM 空间 (00 7F 可直接或间接寻址 )...9 MPC89x51/5 2/53 RAM

More information

SCON 中 当这些中断源请求中断时, 分别由 TCON 和 SCON 中的相应位来锁存 IT0=0 INT0 IT0=1 T0 IT1=0 INT1 IT1=1 1 TCON IE0 TF0 IE1 EX0 EX0 EX1 IE IP PX0 1 0 PT0 1 0 PX1 1 0 自然优先级 矢

SCON 中 当这些中断源请求中断时, 分别由 TCON 和 SCON 中的相应位来锁存 IT0=0 INT0 IT0=1 T0 IT1=0 INT1 IT1=1 1 TCON IE0 TF0 IE1 EX0 EX0 EX1 IE IP PX0 1 0 PT0 1 0 PX1 1 0 自然优先级 矢 情境三 任务 1 交通信号灯 信号灯控制系统 教学目的知识能力 : 理解中断的含义, 能进行中断程序的编写技能能力 : 通过本次学习任务的实施, 能够掌握单片机的中断系统社会能力 : 培养学生的自主学习能力和爱岗敬业 吃苦耐劳 团队协作的精神 3.1 单片机的中断系统 在 CPU 与外设交换信息时, 存在一个快速的 CPU 与慢速的外设间的矛盾 为解决这个问题, 采用了中断技术 良好的中断系统能提高计算机实时处理的能力,

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

51 单片机 C 语言 基础程序设计 zicreate.com Jason 编著 - 51 系列单片机 C 语言编程 - 单片机常用外围器件应用总结 目录第一部分 :51 系列 AT89S52 单片机 C 语言程序设计 第 1 章 AT89S52 单片机硬件介绍... - 1-1.1 AT89S52 单片机引脚分布图... - 1-1.2 功能特性描述... - 2-1.3 AT89S52 单片机的主要性能参数...

More information

79F161 V0.2

79F161 V0.2 带 10 位 ADC 的增强型 8051 微控制器 1. 特性 基于 8051 兼容流水指令的 8 位单片机 Flash ROM:16K 字节 RAM: 内部 256 字节, 外部 512 字节 工作电压 : - f OSC = 400kHz - 12MHz,V DD = 4.0V - 5.5V 振荡器 ( 代码选项 ): - 陶瓷谐振器 :400k - 12MHz - 内部 RC 振荡器 :12MHz

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

<4D F736F F D20B5DA32D5C B5A5C6ACBBFAC8EDD3B2BCFEBFAAB7A2BBB7BEB32E646F6378>

<4D F736F F D20B5DA32D5C B5A5C6ACBBFAC8EDD3B2BCFEBFAAB7A2BBB7BEB32E646F6378> 51 单片机应用开发实战手册 作者 : 华清远见 第 2 章 51 单片机软硬件开发环境 本章目标 进行单片机系统的设计, 首先要选择合适的软硬件开发环境 本章将对如何建 立 51 单片机的软硬件开发环境进行介绍, 另外还将详细介绍如何基于 Keil C51+ Proteus 实现单片机软件仿真的过程 硬件开发环境的建立 建立合适的硬件开发环境是确保单片机系统硬件设计工作顺利高效完成的重要因素 硬件开发环境主要是指进行硬件开发时所必需的工具

More information

MPC89LE51_52_53_54_58_515

MPC89LE51_52_53_54_58_515 8051 内核 - 单片机 MPC89LE51_52_53_54_58_515 規格书 北京菱电科技有限公司 TEL:010-82674978 版本 : A1.0 This document contains information on a new product under development by Megawin. Megawin reserves the right to change

More information

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射..

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射.. Megawin MPC82G516A 8 位微处理器用户手册 ( 第 Ⅱ 版 ) 原著 :By Vincent Y. C. Yu 策划 / 整理 : 许意义 翻译 :www.ourdev.cn 网友 lukeunderwood easygoing yixin czzhouyun lcptw 校对 :www.ourdev.cn 网友 This document contains information

More information

Microsoft Word - 第1章 MCS-51单片机概述.docx

Microsoft Word - 第1章 MCS-51单片机概述.docx 单片机 C 语言入门 ( 修订版 ) 作者 : 华清远见 第 1 章 MCS-51 单片机概述 本章目标 单片微型计算机简称单片机, 又称为微控制器 (MCU), 是微型计算机的一个重要分支 单片机是 20 世纪 70 年代中期发展起来的一种大规模集成电路芯片, 将 CPU RAM ROM I/O 接口和中断系统等集 成于同一硅片内 20 世纪 80 年代以来单片机发展迅速, 各类新产品不断涌现,

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Microsoft Word - P87CL52X2_54X2.doc

Microsoft Word - P87CL52X2_54X2.doc P87CL52X2/54X2 器件手册 概述 PHILIPS P87CL5xX2 是一款高性能的静态 80C51 器件 由高密度 CMOS 工艺制造而成 工作电压范 围为 1.8V 3.3V 无 ROM 的 P87CL5xX2 包含 256 8 RAM 32 个 I/O 口 3 个 16 位计数器 / 定时器 一个 6 中断源 -4 优先级 - 嵌套中断结构 一个可用于多机通信 I/O 扩展或全双工

More information

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现,

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现, 普通高等教育电气信息类应用型规划教材 单片机原理与应用 基于实例驱动和 Proteus 仿真 ( 第二版 ) 李林功编著 北 京 内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

5. P0.0 P0.7 P0 4 2 P0.3~P0.6 ADC P1.0 P P1.2(SCL) P1.3(SDA) P1.5 RST P1.5 2 XTAL1(P2.1) 1 XTAL0(P2.0) 2 XTAL1 I/O VDD VSS 6. H5815 4T 16K Flas

5. P0.0 P0.7 P0 4 2 P0.3~P0.6 ADC P1.0 P P1.2(SCL) P1.3(SDA) P1.5 RST P1.5 2 XTAL1(P2.1) 1 XTAL0(P2.0) 2 XTAL1 I/O VDD VSS 6. H5815 4T 16K Flas H5815 1. H5815 H5815 3 H5815 8052 16K Flash EPROM 256 NVM Flash EPROM 256 RAM 2 8 1 2 I/O 16-2 / 4 10 AD 4 10 PWM 1 I2C 1 UART 13 4 H5815 2. 4T 51 20MHZVDD=2.7V~ 16K Flash EPROM 256 RAM 256 NVM FLASH EPROM

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

杨欣王玉凤刘湘黔编著清华大学出版社 2008 年 1 月 ISBN 单片机应用从零开始 8051Microcontroller: An Applications Based Introduction 第 1 章 身边的单片机 欢迎访问电路飞翔网

杨欣王玉凤刘湘黔编著清华大学出版社 2008 年 1 月 ISBN 单片机应用从零开始 8051Microcontroller: An Applications Based Introduction 第 1 章 身边的单片机 欢迎访问电路飞翔网 第 1 章 身边的单片机 欢迎访问电路飞翔网 http://www.circuitfly.com 获取更多信息 1.1 单片机在哪里 1.2 单片机是什么样子的 1.3 单片机如何控制其他器件 1.4 如何使用单片机 1.5 有哪些单片机 1.6 实例点拨 电子万年历 例 1: 空调机 1.1 单片机在哪里 制冷功能模块 温度监控功能模块 如何协调工作? 遥控器接收功能模块 1.1 单片机在哪里 数码管指示灯功能按键

More information

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378>

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378> 单片机原理与应用及 C51 程序设计 ( 第 3 版 )- 终稿 -New 勘误表 1. P18 页 11 行原来 : 汇编语言 机器语言 功能 MOV AL,01H 1011 0000 0000 0011B ; 把 01 送入累加器 A ADD AL,02H 0000 0100 0000 0101B ;02 与 A 中内容相加, 结 果存入 A HLT 11110100B ; 停止操作 汇编语言

More information

MA816_DS_CN_V005

MA816_DS_CN_V005 MAKE YOUWIN 数据手册 版本 0.05 QP-7300-03D 1/79 目录 1. 概述...5 2. 功能...6 3. 方框图...7 4. 特殊功能寄存器 SFR...8 4.1. SFR 映射表...8 4.2. SFR 位分配...9 4.3. SFR 内存分页...10 5. 引脚...11 5.1. 封装...11 5.2. 引脚定义...12 6. 系统时钟...13 6.1.

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

诺贝尔生理学医学奖获奖者

诺贝尔生理学医学奖获奖者 诺 贝 尔 生 理 学 医 学 奖 获 奖 者 1901 年 E.A.V. 贝 林 ( 德 国 人 ) 从 事 有 关 白 喉 血 清 疗 法 的 研 究 1902 年 R. 罗 斯 ( 英 国 人 ) 从 事 有 关 疟 疾 的 研 究 1903 年 N.R. 芬 森 ( 丹 麦 人 ) 发 现 利 用 光 辐 射 治 疗 狼 疮 1904 年 I.P. 巴 甫 洛 夫 ( 俄 国 人 ) 从 事

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

N79A8211系列单片机内部NVM

N79A8211系列单片机内部NVM 南京立超电子科技有限公司 N79A8211 之 NVM FLASH E 2 PROM 应用笔记 2009 年 03 月 15 中国南京市和燕路 251 号金港大厦 A 幢 2406 室 Room 2406,Tower A,Jingang mansion,251 Heyan Road,Nanjing 210028,P.R.China Tel: 0086-25-83306839/83310926 Fax:

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

則 此 圖 片 約 需 佔 用 多 少 儲 存 空 間? M B y t e s M B y t e s M B y t e s M B y t e s 9. ( 3 ) 在 M i c r o s o f t E x c e

則 此 圖 片 約 需 佔 用 多 少 儲 存 空 間? M B y t e s M B y t e s M B y t e s M B y t e s 9. ( 3 ) 在 M i c r o s o f t E x c e 104 年 度 11800 電 腦 軟 體 應 用 乙 級 技 術 士 技 能 檢 定 學 科 測 試 試 題 本 試 卷 有 選 擇 題 80 題 單 選 選 擇 題 60 題, 每 題 1 分 ; 複 選 選 擇 題 20 題, 每 題 2 分, 測 試 時 間 為 100 分 鐘, 請 在 答 案 卡 上 作 答, 答 錯 不 倒 扣 ; 未 作 答 者, 不 予 計 分 准 考 證 號 碼

More information

79F161 V0.2

79F161 V0.2 带 10 位 ADC 的增强型 8051 微控制器 1. 特性 基于 8051 指令流水线结构的 8 位单片机 Flash ROM:16K 字节 RAM: 内部 256 字节, 外部 512 字节 工作电压 : - f OSC = 400kHz - 16MHz,V DD = 3.6V - 5.5V 振荡器 ( 代码选项 ): - 晶体谐振器 :400kHz - 16MHz - 陶瓷谐振器 :400kHz

More information

zt

zt 6 口 人 2 4 只 个 儿 1 y Y 1 个 0 几 8 4 I 上 3 1 I 下 I 6 二 8 只 又 Y 个 上 4 了 一 0 6 口 一 4 T 二 8 5 4 4 儿 0 4 0 8 了 一 0 6 Y 人 Y 6 1 4 i 下 4 6 人 二 二 6 一 又 7 只 上 T Y 儿 二 4 Y 4 8 i 4 8 人 y 4 P 一 4 P i 下 y 上 1 只 9 0 I

More information

P89LV51RD2器件手册

P89LV51RD2器件手册 P89LV51RD2 器件手册 1. 概述 P89LV51RD2 是一款 80C51 微控制器, 包含 64kB Flash 和 1024 字节的数据 RAM P89LV51RD2 的典型特性是它的 X2 方式选项 设计者可通过该特性来选择应用程序以传统的 80C51 时钟频率 ( 每个机器周期包含 12 个时钟 ) 或 X2 方式 ( 每个机器周期包含 6 个时钟 ) 的时钟频率运行, 其中, 选择

More information

目录 1 总体描述 主要功能... 1 目录 管脚定义 管脚配置 管脚定义 内部方框图 FLASH ROM 和 SRAM 结构 flash rom Customer

目录 1 总体描述 主要功能... 1 目录 管脚定义 管脚配置 管脚定义 内部方框图 FLASH ROM 和 SRAM 结构 flash rom Customer 高速 1T 8051 内核 Flash MCU,1 Kbytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM, 4 档位水位检测模块,12 位 ADC, 六路 10 位 PWM,3 个定时器, 乘除法器,UART,SSI, Check Sum 校验模块 1 总体描述 ( 以下简称 SC92WL46X) 是一颗增强型的高速 1T 8051 内核工业级集成水位检测功能的

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

<4D F736F F D20BBF9D3DAB5A5C6ACBBFAB5C4B5E7C2B7C9E8BCC62E646F63>

<4D F736F F D20BBF9D3DAB5A5C6ACBBFAB5C4B5E7C2B7C9E8BCC62E646F63> 第 1 章 MCS51 单片机介绍 1.1 数字集成电路的发展历程 从 20 世纪 60 年代开始, 数字集成电路在集成度方面的发展经历了以下 4 个阶段 : 包含几十到几百个逻辑门的小规模集成电路 (Small Scale Integration,SSI); 包含几百到几千个逻辑门的中规模集成电路 (Medium Scale Integration,MSI); 包含几千到几万个逻辑门的大规模集成电路

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第九章中断与中断管理 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC 机硬件中断 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC

More information

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc 自定义下载应用说明 一 适用产品 :SM59XX 系列 SM59D XX 系列 SM59R XX 系列二 应用方式 : 可以让使用者自定义 command 作为进入 ISP 刻录的通关指令, 透过 UART 连接 ISAP 软件做联机更新三 操作说明 ( 使用 SM59D04G2 为例 ): 1. ISAP 操作方式 : 1.1 先将主程序及 ISP 服务程序烧进 MCU 中 1.2 将 MCU 放至系统版上,

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

SC92F742X

SC92F742X ,512 bytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM,12 位 ADC,6 路独立 8 位 PWM,3 个定时器,2 个 SSI 接口,Check Sum 校验模块,STOP 电流

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

HT48R10A-1/HT48R30A-1 HT48R50A-1/HT48R70A-1 I/O 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...5

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

92 系列产品命名规则 名称 SC 92 F X P 48 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM

92 系列产品命名规则 名称 SC 92 F X P 48 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM ,2 Kbytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM, 12 位 ADC,1 个模拟比较器,LCD/LED Driver,12 位 PWM,3 个定时器, 乘除法器,UART,SSI,Check Sum 校验模块 1 总体描述 ( 以下简称 SC92F744X) 系列是一一颗增强型的超高速 1T 8051 内核工业级 Flash 微控制器, 指令系统完全兼容传统

More information

微机系统与接口--第5章-2.ppt

微机系统与接口--第5章-2.ppt 第五章 数字量输入输出接口 主 要 内 容 * 接口基本概念 * 接口电路 ( 芯片 ) 端口地址 * 数据传送方式 * 总线及其接口 * 中断电路及其处理 * 定时 / 计数器电路与应用 * 并行接口电路与应用 * 串行接口电路与应用 * DMA 电路与应用 1 总线基本概念 CPU 总线 地址总线 AB CPU 存储器 数字 I/O 接口 输入出设备 模拟 I/O 接口 输入出设备 数据总线 DB

More information

SC92F7490

SC92F7490 多通信接口 8PIN Flash MCU,512 bytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM,12 位 ADC,3 个定时器,2 个 SSI 接口,Check Sum 校验模块,STOP 电流

More information