XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

Size: px
Start display at page:

Download "XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集"

Transcription

1 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式 发射输出功率 工作频道以及通信数据率均可配置 它采用 GFSK 通信方式, 支持自动应答及自动重传, 自带扰码和 CRC 校验功能 单片机运用 RISC 的架构基础使大部分的指令执行时间都是一个指令周期, 只有少部分间接地址访问的指令是需要两个指令周期 在 XNS104 内部有 1.5Kx14 bit OTP 程序存储器以及 88 Bytes 数据存储器, 芯片内部还设置有多通道的 12 位分辨率 A/D 转换器, 其中 1 通道为内置的 Band-gap 参考电压生成器, 它可以提供 1.2V 电压供测量 ; 另外,XNS104 提供 3 组硬件计数器 (Timer), 一个为 16 位计数器, 另外两个为 8 位计数器并且可产生 PWM 波形 主要特性 1 单片机 时钟源 : 内部高频 RC 振荡器 (IHRC) 内部低频 RC 振荡器 (ILRC) 内置 Band-gap 硬件模块输出 1.20V 参考电压 内置一个硬件 16 位计数器 内置两个硬件 8 位计数器并可提供 PWM 模式输出 内置一个多通道 12 位分辨率 A/D 转换器 提供 ADC 参考高电压 : 外部输入, 内部 VDD, Band-gap 1.20V, 4V, 3V, 2V 提供单周期 (1T)8x8 硬件乘法器 提供快速唤醒模式 8 段 LVR 设定 ~ 4.1V, 3.6V, 3.1V, 2.8V, 2.5V, 2.2V, 2.0V, 1.8V 可选择的外部中断引脚 每一 引脚都可以单独设置系统唤醒功能 单片机工作频率 ~ 4MHz@VDD 2.5V; ~ 2MHz@VDD 2.2V; 1.5K OTP 程序存储器 88 Bytes 数据存储器 提供 86 条指令 大部份指令都是单周期 (1T) 指令 弹性化的堆栈深度, 可程序设定 提供数据与指令的直接 间接寻址模式 所有的数据存储器都可当数据指针 (index pointer) 独立的 地址以及存储地址, 方便程序开发 2 无线通信单元

2 工作在 MHz 世界通用 ISM 频段 自动处理数据包 空中数据率可编程 250Kbps/1Mbps 无线芯片输出功率可编程, 调节范围广 :-5dBm--13dBm 无线芯片 250K / 1M 模式的灵敏度为 -91 / -87dBm 抗干扰性好, 接收滤波器的邻道抑制度高, 接收机选择性好 功耗低, 性能优异, 外围器件少 3 工作条件 工作电压 :2.2V ~3.3V 工作温度 :-20 C ~ 70 C 储藏温度 : -40 C ~ 125 C 节点温度 : 150 C 请勿使用于 AC 阻容降压供电, 强电源纹波, 或高 EFT 要求之应用 封装信息 XNS104-S16: SOP16( 宽度 150mil) RoHS (Green) 应用方案 无线鼠标键盘 电视和机顶盒遥控器 无线游戏手柄 有源无线标签 智能家居及安防系统 遥控玩具 版本 修订时间 说明 相关文档 V 初版修订 01_XN297L 系列产品说明书 _V4p7 PMS131 datasheet V005 _CN

3 目录 1 系统结构方框图 引脚定义和说明 引脚定义 引脚说明 芯片控制连接 应用原理图 减少外围器件 通过安规认证 封装尺寸 联系方式... 9

4 1 系统结构方框图 2.4GHz 无线收发单元 8X8 乘法器 1.5KW ROM Band-gap Internal Processor Bus Internal Peripheral Bus 中断控制器 16 位计数器 端口 CPU 88 bytes 数据存储器 看门狗计数器电源管理 12 位分辨率 ADC 8 位计数器 / PWM x2 上电复位 / 低电压检测计 图 1 XNS104 芯片系统结构方框图 2 引脚定义和说明 2.1 引脚定义 ANT 1 16 GND RFVDD 2 15 XC2 PB3/AD XC1 PA3/AD8/PWM RFVDD PA4/AD9/INT1A 5 12 PB4/AD4/PWM1 PA0/AD10/INT PB7/AD7/PWM PA6/X PA5/PRSTB PA7/X1 8 9 MCUVDD XNS104-S16

5 图 2.1 XNS104 芯片引脚功能图 2.2 引脚说明 烧录管脚 :PIN 4 / PIN5 / PIN7 / PIN9 / PIN10 / PIN16 表 2.1 引脚功能说明 NO 引脚名称 引脚 & 缓冲器类型 功能描述 1 ANT A 天线端口, 可以用 PCB 微带天线也可以用单极子天线 2 RFVDD P 无线芯片电源 3 1. Port B 位 3, 这个引脚可编程设定为数字输入 / 输出, 弱上拉电阻 PB3 / 2. ADC 模拟输入通道 3 AD3 / CMOS / 当此引脚设定为模拟输入时, 请用寄存器 pbdier 位 3 关闭 ( 0 ) 此引脚的数字输入以 Analog 4 1. Port A 位 3, 这个引脚可编程设定为数字输入 / 输出, 弱上拉电阻 PA3 / 2. ADC 模拟输入通道 8 AD8 / CMOS / 3. Timer2 的 PWM 输出 PWM1 Analog 当此引脚设定为模拟输入时, 请用寄存器 padier 位 3 关闭 ( 0 ) 此引脚的数字输入以 5 1. Port A 位 4, 这个引脚可编程设定为数字输入, 弱上拉电阻 PA4 / 2. ADC 模拟输入通道 9 AD9 / CMOS / 3. 外部中断服务. 外部中断可发生在上升沿和下降沿 INT1A Analog 当此引脚设定为模拟输入时, 请用寄存器 pbdier 位 4 关闭 ( 0 ) 此引脚的数字输入以 6 1. Port A 位 0, 这个引脚可编程设定为数字输入 / 输出, 弱上拉电阻 PA0 / 2. ADC 模拟输入通道 10 AD10 / CMOS / 3. 外部中断服务. 外部中断可发生在上升沿和下降沿 INT0 Analog 当此引脚设定为模拟输入时, 请用寄存器 padier 位 0 关闭 ( 0 ) 此引脚的数字输入以 7 PA6 / X2 1. Port A 位 6, 可编程设定为数字输入 / 输出, 弱上拉电阻 2. 使用晶体振荡器时, 作 X2 用 当此引脚设定为晶体振荡功能时, 请用寄存器 padier 位 6 关闭 ( 0 ) 此引脚的数字输 CMOS 入以减少漏电流 此外, 亦可设定在睡眠中唤醒系统的功能 ; 但是, 当寄存器 padier 位 6 为 0 时, 唤醒功能是被关闭的

6 NO 引脚名称 引脚 & 缓冲器类型 功能描述 8 PA7 / X1 CMOS 9 MCUVDD P 单片机电源 PA5 / RESETB PB7 / AD7 / PWM2 PB4 / AD4 / PWM1 (OC) CMOS CMOS / Analog CMOS / Analog 1. Port A 位 7, 并可编程设定为数字输入 / 输出, 弱上拉电阻 2. 使用晶体振荡器时, 作 X1 用 当此引脚设定为晶体振荡功能时, 请用寄存器 padier 位 7 关闭 ( 0 ) 此引脚的数字输 入以减少漏电流 此外, 亦可设定在睡眠中唤醒系统的功能 ; 但是, 当寄存器 padier 位 7 为 0 时, 唤醒功能是被关闭的 1. 当单片机的硬件外部复位 2. 当 Port A 位 5; 此引脚没有上拉电阻, 此引脚可以设定为输入口或开漏输出 (open drain) 模式 这个引脚可以设定在睡眠中唤醒系统的功能 ; 但是, 当寄存器 padier 位 5 为 0 时, 唤 醒功能是被关闭的 另外, 当此引脚设定成输入时, 对于需要高抗干扰能力的系统, 请串接 33Ω 电阻 1. Port B 位 7, 这个引脚可编程设定为数字输入 / 输出, 弱上拉电阻 2. ADC 模拟输入通道 7 3. Timer2 的 PWM 输出 当此引脚设定为模拟输入时, 请用寄存器 pbdier 位 7 关闭 ( 0 ) 此引脚的数字输入以 13 RFVDD P 无线芯片电源 1. Port B 位 4, 这个引脚可编程设定为数字输入 / 输出, 弱上拉电阻 2. ADC 模拟输入通道 4 3. Timer2 的 PWM 输出 14 XC1 AI 无线芯片晶振脚 15 XC2 AO 无线芯片晶振脚 * 注 16 GND G 无线芯片和单片机公共地 当此引脚设定为模拟输入时, 请用寄存器 pbdier 位 4 关闭 ( 0 ) 此引脚的数字输入以 注意 : : 输入 / 输出 ; ST : 施密特触发器输入 ; AI / AO: 模拟输入 / 输出引脚 ; CMOS : CMOS 电压基准位 * 注必须使用 16MHz 晶振, 匹配电容需根据晶振微调 晶振精度要求 :250K 通信模式 ±20ppm, 1M 通信模式 ±40ppm 2.3 芯片控制连接 表 2.2 芯片控制连接

7 RF MCU 说明 CSN PB1 内部绑线连接 SCK PB0 内部绑线连接 MOSI PB2 内部绑线连接 MISO PB6 内部绑线连接 IRQ PB5 内部绑线连接 3 应用原理图 3.1 减少外围器件 * 注部分晶振低温条件下, 需要在 XC2 输出端串联 510R 电阻, 提高频率输出的稳定度 3.2 通过安规认证 配置发射功率 5dBm 档位, 射频外围匹配如下图所示 ( 左端是 ANT 引脚 ), 安规认证各次谐波余量为 3dB 以上 :

8 以遥控车方案为例, 使用 250Kbps 通信数据率, 稳定通信距离在 40m~50m 左右 4 封装尺寸

9 图 3.1 XNS104 封装尺寸

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Microsoft Word - PMC232, PMS232 datasheet v0 02 _CN_.doc

Microsoft Word - PMC232, PMS232 datasheet v0 02 _CN_.doc PMC232/PMS232 系列 带 12 位 ADC 采用 FPPA TM 技术双核心 8 位单片机 数据手册 第 0.02 版 2015 年 10 月 30 日 Copyright 2015 by PADAUK Technology Co., Ltd., all rights reserved 10F-2, No. 1, Sec. 2, Dong-Da Road, Hsin-Chu 300, Taiwan,

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Microsoft Word - PMC234, PMS234 datasheet v0.02 _CN_.doc

Microsoft Word - PMC234, PMS234 datasheet v0.02 _CN_.doc PMC234/PMS234 系列 带 12 位 ADC 采用 FPPA TM 技术双核心 8 位单片机 数据手册 第 0.02 版 2015 年 10 月 30 日 Copyright 2015 by PADAUK Technology Co., Ltd., all rights reserved 10F-2, No. 1, Sec. 2, Dong-Da Road, Hsin-Chu 300, Taiwan,

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

PMC232 系列数据手册 带 12 位 ADC 采用 FPPA 双核心 8 位单片机 TM 技术 第 0.03 版 2013 年 08 月 08 日 Copyright 2013 by PADAUK Technology Co., Ltd., all rights reserved 10F-2,

PMC232 系列数据手册 带 12 位 ADC 采用 FPPA 双核心 8 位单片机 TM 技术 第 0.03 版 2013 年 08 月 08 日 Copyright 2013 by PADAUK Technology Co., Ltd., all rights reserved 10F-2, PMC232 系列数据手册 带 12 位 ADC 采用 FPPA 双核心 8 位单片机 TM 技术 第 0.03 版 2013 年 08 月 08 日 Copyright 2013 by PADAUK Technology Co., Ltd., all rights reserved 10F-2, No. 1, Sec. 2, Dong-Da Road, Hsin-Chu 300, Taiwan,

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

TM16C859 系列 带 12 位 ADC 采用 FPPA TM 技术双核心 8 位单片机 数据手册 第 0.07 版 2014 年 2 月 19 日 第 1 页共 100 页

TM16C859 系列 带 12 位 ADC 采用 FPPA TM 技术双核心 8 位单片机 数据手册 第 0.07 版 2014 年 2 月 19 日 第 1 页共 100 页 http://www.mochip.cn TM16C859 系列 带 12 位 ADC 采用 FPPA TM 技术双核心 8 位单片机 数据手册 第 0.07 版 2014 年 2 月 19 日 第 1 页共 100 页 目录 1. 单片机特点... 8 1.1. 高性能 RISC CPU 架构... 8 1.2. 系统功能... 8 2. 系统概述和方框图... 9 3. TM16C859 系列和引脚功能说明...

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

市 ( 地 州 盟 ) 无 锡 市 江 阴 市 798635012 江 阴 新 浩 再 循 环 纸 业 有 限 公 司 无 锡 市 宜 兴 市 714086395 江 苏 三 木 化 工 股 份 有 限 公 司 无 锡 市 宜 兴 市 607994371 江 苏 国 信 协 联 能 源 有 限 公

市 ( 地 州 盟 ) 无 锡 市 江 阴 市 798635012 江 阴 新 浩 再 循 环 纸 业 有 限 公 司 无 锡 市 宜 兴 市 714086395 江 苏 三 木 化 工 股 份 有 限 公 司 无 锡 市 宜 兴 市 607994371 江 苏 国 信 协 联 能 源 有 限 公 附 件 2016 年 国 家 重 点 监 控 企 业 名 单 一 废 水 国 家 重 点 监 控 企 业 名 单 ( 共 2660 家 ) 江 苏 省 (182 家 ) 市 ( 地 州 盟 ) 南 京 市 栖 霞 区 690442841 南 京 中 电 熊 猫 液 晶 显 示 科 技 有 限 公 司 南 京 市 栖 霞 区 721730177 中 石 化 股 份 有 限 公 司 金 陵 分 公 司

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

观 察 窗 关 乎 生 老 病 死 ( 一 ) 中 国 医 疗 卫 生 透 视 ( 曾 德 强 )71 人 格 风 范 德 乃 医 之 大 道 ( 王 永 清 )89 企 业 文 化 锡 器 礼 品 浅 谈 礼 品 文 化 走 进 安 康 之 二 ( 胡 伟 )95 组 工 干 部 之 歌 林 彦

观 察 窗 关 乎 生 老 病 死 ( 一 ) 中 国 医 疗 卫 生 透 视 ( 曾 德 强 )71 人 格 风 范 德 乃 医 之 大 道 ( 王 永 清 )89 企 业 文 化 锡 器 礼 品 浅 谈 礼 品 文 化 走 进 安 康 之 二 ( 胡 伟 )95 组 工 干 部 之 歌 林 彦 第 二 期 卷 首 语 坚 守 平 实 风 格 ( 张 永 强 )1 安 康 写 意 我 看 安 康 文 化 ( 李 春 平 ) 4 文 化 长 廊 超 越 梦 想 ( 杨 涛 )8 生 存 的 悖 论 与 人 性 的 异 化 谈 李 春 平 的 中 篇 小 说 一 路 ( 孙 鸿 )13 李 增 保 与 记 默 翁 书 法 大 家 陈 少 默 印 象 ( 赵 宏 勋 )18 癸 未 年 初 访 默

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

芯片主要特性:

芯片主要特性: 超低功耗高性能 2.4GHz GFSK 无线收发芯片 主要特性 工作在 2.4GHz ISM 频段 调制方式 :GFSK/FSK 数据速率 :2Mbps/1Mbps/250Kbps 超低关断功耗 :0.7uA 超低待机功耗 :15uA 快速启动时间 : 130uS 内部集成高 PSRR LDO 宽电源电压范围 :1.9-3.6V 宽数字 I/O 电压范围 :1.9-5.25V 低成本晶振 :16MHz±60ppm

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

<4D6963726F736F667420576F7264202D20A677AC57A4A4BEC7A470A4BBAE61AAF8B1DFB77CAE61AAF8B4A3B0DDAABAA65EC0B32831332D31322D3230313329>

<4D6963726F736F667420576F7264202D20A677AC57A4A4BEC7A470A4BBAE61AAF8B1DFB77CAE61AAF8B4A3B0DDAABAA65EC0B32831332D31322D3230313329> 中 華 傳 道 會 安 柱 中 學 葵 涌 青 衣 荃 灣 及 深 水 埗 區 小 學 家 長 晚 會 (13-12-2013) 家 長 提 問 的 回 應 本 校 在 現 時 的 中 三 中 四 中 五 及 中 六 級 均 額 外 增 加 一 班, 推 行 中 班 教 學 本 校 深 受 區 內 小 學 家 長 及 學 生 的 歡 迎, 絕 對 沒 有 縮 班 的 危 機 但 為 了 保 全 全

More information

中華傳遁會安柱中學

中華傳遁會安柱中學 中 華 傳 道 會 安 柱 中 學 葵 涌 青 衣 荃 灣 及 深 水 埗 區 小 學 家 長 晚 會 (18-12-2015) 家 長 提 問 的 回 應 本 校 在 現 時 的 中 四 中 五 及 中 六 級 均 額 外 增 加 一 班, 推 行 中 班 教 學 本 校 將 今 年 的 中 四 及 中 五 級 由 編 制 的 四 班 增 加 至 五 班, 中 六 級 則 由 編 制 的 五 班

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

目录 1 芯片简介 芯片主要特点 模块方框图 电气特性 管脚描述 典型应用 SPI 接口 SPI 默认格式 I2C 接口 I2C 特性 I

目录 1 芯片简介 芯片主要特点 模块方框图 电气特性 管脚描述 典型应用 SPI 接口 SPI 默认格式 I2C 接口 I2C 特性 I MC3100 用户手册 2.4G 低功耗射频收发芯片 V1.1 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 芯片简介... 4 2 芯片主要特点... 4 3 模块方框图... 5 4 电气特性... 6 5 管脚描述...

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

一、

一、 一 简介 RTM-SI4432 基于 Silicon LibS 的 SI4432 无线收发芯片与 STM8L101F3P6( 意法半导体 ) 高性能单片机, 是一款体积小巧的 低功耗 远距离的无线数传模块 SI4432 是 Silicon Libs 推出的 ISM 频段无线收发芯片之一, 主要设定为 315MHz 433MHz, 868MHz 和 915MHz 频段, 灵敏度最佳可达到 -121dBm@1.2Kbps,

More information

Microsoft Word - PMC153, PMS153 datasheet V006_CN__ doc

Microsoft Word - PMC153, PMS153 datasheet V006_CN__ doc PTB O153XXS/C 数据手册 8 位 IO 类型单片机 第 0.06 版 2016 年 7 月 7 日 - 1 - 重要声明 电子保留权利在任何时候变更或终止产品, 建议客户在使用或下单前与 电子或代理商 联系以取得最新 最正确的产品信息 电子不担保本产品适用于保障生命安全或紧急安全的应用, 电子不为此类应用产品承 担任何责任 关键应用产品包括, 但不仅限于, 可能涉及的潜在风险的死亡, 人身伤害,

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

目 录 1. 单片机特点 CPU 特点 系统功能 系统概述和方框图 引脚功能说明 器件电气特性 直流交流电气特性 绝对最大值 IHRC 频率与 VDD 关系曲线

目 录 1. 单片机特点 CPU 特点 系统功能 系统概述和方框图 引脚功能说明 器件电气特性 直流交流电气特性 绝对最大值 IHRC 频率与 VDD 关系曲线 数据手册 IO 型 OTP 单片机 Version 0.03 20 Jun, 2013 目 录 1. 单片机特点... 5 1.1 CPU 特点... 5 1.2 系统功能... 5 2. 系统概述和方框图... 5 3. 引脚功能说明... 7 4. 器件电气特性... 9 4.1 直流交流电气特性... 9 4.2 绝对最大值... 10 4.3 IHRC 频率与 VDD 关系曲线图... 11

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

C-062.docx

C-062.docx 十 二 年 國 民 基 本 教 育 國 中 教 師 有 效 教 學 深 耕 推 廣 計 畫 優 良 教 案 甄 選 比 賽 教 學 計 畫 ( 教 案 ) 設 計 主 題 名 稱 對 映 鄉 土 意, 聯 接 板 橋 情 對 聯 有 效 教 學 教 材 來 源 改 編 教 科 書 ( 康 軒 翰 林 南 一 其 他 ) 自 編 教 學 節 數 6 節 主 題 第 三 冊 第 六 課 大 明 湖 語

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

文档 1

文档 1 2005 3 100 2005 10 20 100 100 2003 9 30 266,303,262.93 100 941,105,695.50 532,672,384.52 1 2 100 100 100 90% 100 50% 100 100 2005 8 21 "75% 100 + 25% " 2005 8 22 100 95%+ 5% 3 75% + 25% 2005 7 1 2005 9

More information

Ps22Pdf

Ps22Pdf ,,, 30,, 1.,,, 1530, 50 ; 10,, ; ; 2.,, 1 ,,,,,, 520, 5979%, 1536 %, 3.,,,, 4.,,,,,,,,,! 2 ,,,,,,,,,,,,,, ;,,,, 3 ,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,, 4 60,,,,,,,,,,,,,,,,,,,,,,, ;,, 5 ,,,,,,, 20ppm,, ;

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

一量动…

一量动… 语 言 教 学 与 研 究,1998(3):102-113. 一 量 VP 的 语 法 语 义 特 点 李 宇 明 根 据 量 词 的 不 同, 一 量 VP 可 以 分 为 三 类 : (1) 畜 力 车, 哪 怕 是 牛 车, 竟 一 辆 没 有 ( 陈 冲 不 自 然 的 黑 色, 十 月 1989 年 6 期 34 (2) 一 刻 都 不 敢 离 开 你 呢 ( 小 牛 上 路 谣, 当 代

More information

Microsoft Word - PMS150C datasheet V002_CN__ _karrie.doc

Microsoft Word - PMS150C datasheet V002_CN__ _karrie.doc PTB O150CXXS 8 位 IO 类型单片机 数据手册 第 0.02 版 2016 年 11 月 3 日 - 1 - 重要声明 电子保留权利在任何时候变更或终止产品, 建议客户在使用或下单前与 电子或代理商 联系以取得最新 最正确的产品信息 电子不担保本产品适用于保障生命安全或紧急安全的应用, 电子不为此类应用产品承 担任何责任 关键应用产品包括, 但不仅限于, 可能涉及的潜在风险的死亡, 人身伤害,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

書本介紹

書本介紹 班 級 經 營 期 末 報 告 組 員 : 幼 三 甲 4A0I0030 蔡 依 璇 4A0I0048 蘇 家 儀 4A0I0096 張 容 嫣 4A0I0098 袁 少 潔 書 本 介 紹 閱 讀 對 象 : 小 學 低 年 級 的 老 師 新 生 家 長 有 意 從 事 小 學 者 及 關 心 教 育 品 質 的 社 會 人 士 內 容 : 1. 教 師 如 何 成 功 有 效 地 經 營 低

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

MG115 BLE iBeacon

MG115 BLE iBeacon MG127 BLE Transceiver Application Note Revision History: Rev. No. History Issue Date Remark 0.1 Initial issue April 29, 2016 Preliminary 0.2 Update ch10 about PCB July 11, 2016 Preliminary 0.3 Update MISO

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information