一、

Size: px
Start display at page:

Download "一、"

Transcription

1 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序. 2. 产品特性 CPU 配置 1K 13 位片内 ROM 32 8 位片内寄存器 (SRAM, 通用寄存器 ) 5 级堆栈用于子程序嵌套 小于 典型值为 15uA,@3V/32KHz 休眠模式下的典型值为 1uA I/O 端口配置 2 组双向 I/O 端口 :P5,P6 12 个 I/O 引脚 唤醒端口 :P6 6 个可编程下拉 I/O 引脚 7 个可编程上拉 I/O 引脚 7 个可编程漏极开路 I/O 引脚 外部中断 :P60 工作电压范围 : OPT 版本 : 工作电压范围 :2.3V~5.5V 工作温度范围 :0 ~70 工作频率范围 ( 基于 2 个时钟周期 ): 晶体模式 : DC~20MHz/2clks@5V;DC~100ns inst. cycle@5v DC~8MHz/2clks@3V;DC~250ns inst. cycle@3v DC~4MHz/2clks@2.3V;DC~500ns inst. cycle@2.3v HXT 系统频率与 LXT 系统频率的临界点为 400KHz. ERC 模式 : DC~4MHz/2clks@5V;DC~500ns inst. cycle@5v DC~4MHz/2clks@3V;DC~500ns inst. cycle@3v DC~4MHz/2clks@2.3V;DC~500ns inst. cycle@2.3v IRC 模式 : 振荡模式 :4MHZ, 8MHZ,1MHZ,455KHZ 制程漂移 :TYP±5.5%~±6% 温度偏差 :±10%(0 ~70 ) 第 1 页共 29 页

2 外设配置 8 位实时时钟 / 计数器 (TCC), 可编程选择其信号源 触发边沿, 溢出产生中断 三种中断源 : TCC 溢出中断 输入端口状态改变中断 ( 可使微控制器从休眠模式唤醒 ) 外部中断 专有特性 自由运行的可编程看门狗定时器 省电模式 ( 休眠模式 ) 可选振荡模式 其它 可编程振荡器启动时间的预分频比 具备一个保护寄存器以防止 PTO ROM 中的程序代码被窃取 具备一个配置寄存器以满足用户的需求 一个指令周期包含两个时钟周期 封装类型 : DIP-14 SOP 引脚分配 1 2 P P51 P P51 P P52 P P52 P P53 P P53 D VDD 4 11 VSS VDD 4 11 VSS P P60 P P60 P P61 P P61 P P62 P P62 DIP-14 SOP-14 C 第 2 页共 29 页

3 TC78P153 ( 文件编号 :S&CIC0994) 4. 引脚描述 表 1 78P153 引脚描述 符号 I/O 类型 功能 P66,P67 I/O * 通用 I/O 引脚 * 上拉 / 下拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 P65/OSCI I/O * 通用 I/O 引脚 * 外部时钟信号输入 *XT 振荡器输入引脚 * 上拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 P64/OSCO I/O * 通用 I/O 引脚 * 外部时钟信号输入 *XT 振荡器输入引脚 * 上拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 P63//RESET/Vpp I * 置为 /RESET 时低点平引起复位 * 编程电压输入引脚 * 引脚状态变化将单片机从休眠模式唤醒 * 正常模式下电压不得高于 Vdd * 置为 /RESET 时有上拉 P62/TCC I/O * 通用 I/O 引脚 * 上拉 / 下拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 * 外部时钟 / 计数器信号输入引脚 P61 I/O * 通用 I/O 引脚 * 上拉 / 下拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 * 编程模式下斯密特触发输入 P60/INT I/O * 通用 I/O 引脚 * 上拉 / 下拉 / 漏极开路 * 引脚状态变化将单片机从休眠模式唤醒 * 编程模式下施密特触发输入 * 下降沿触发的外部中断输入引脚 P50 P51~P52 I/O * 通用 I/O 引脚 * 下拉 P53 I/O * 通用 I/O 引脚 VDD - 电源正极 VSS - * 电源地 第 3 页共 29 页

4 TC78P153 ( 文件编号 :S&CIC0994) 5. 功能描述 5.1. 操作寄存器 RO( 简介寻址寄存器 ) RO 并非实际存在的寄存器 它的主要功能是作为间接寻址指针 任何以 RO 为指针的指令, 实际 上是对 RAM 选择寄存器 R4 所指向地址的数据内容进行操作 R1( 定时 / 计数器 ) TCC 引脚上的外部信号边沿或内部指令周期时钟触发 ( 由 CONT 寄存器的 TE 位设定 ), 会使 TCC 寄存器加 1. 像其他寄存器一样可读 / 写 通过复位 PAB 位 (CONT-3) 设定 如果 PAB bit (CONT-3) 被复位, 预分频器分配给 TCC. 写入一个值到 TCC 寄存器后,TCC 计数器的内容会被刷新 R2( 程序计数器 PC) 和堆栈 根据具体的器件类型,R2 和硬件堆栈为 10 位宽 图 3 描绘了相关结构图 生成 位程序指令代码的片内 OTP ROM 地址 一个程序页为 1024 字长 复位条件下,R2 所有位均清 0 "JMP" 指令直接加载程序计数器的低 10 位 因此,"JMP" 允许 PC 跳转到一个程序页的任何位置 "CALL" 指令首先加载 PC 的低 10 位, 然后将 PC+1 推入堆栈 因此, 子程序的入口地址可位于一个 程序页的任何位置 "RET" ("RETL k", "RETI") 加载栈顶值到程序计数器 (PC) 中 "ADD R2,A" 允许将 A 存器的值加到当前 PC,PC 的第 9 和第 10 位清 0 "MOV R2,A" 允许从 A 寄存器中加载一个地址值到 PC 的低 8 位,PC 的第 9 和第 10 位保持不变 第 4 页共 29 页

5 任何向 R2 写入的指令 ( 例如. "ADD R2,A", "MOV R2,A", "BC R2,6", 等 ) 将会使 PC 的第九和第十位 (A8~A9) 清零 因此, 经计算后的跳转位置只能位于一个程序存储器页的头 256 地址空间中 所有指令均是单指令周期指令 (fclk/2 或 fclk/4), 但会改变 R2 寄存器内容的指令除外, 这些指令的执行需要一个或多个指令周期 PC ( A9~A0 ) 堆栈级 1 堆栈级 2 堆栈级 3 堆栈级 4 堆栈级 5 图 5-2 程序计数器结构图 复位向量中断向量 片内程序存储器 000H 008H 3FFH 用户程序存储空间 地址 R PAGE 寄存器 IOC PAGE 寄存器 00 R0 ( 简洁寻址寄存器 ) 保留 01 R1 ( 定时 / 计数器 ) CONT ( 控制寄存器 ) 02 R2 ( 程序计数器 ) 保留 03 R3 ( 状态寄存器 ) 保留 04 R4 (RAM 选择寄存器 ) 保留 05 R5 (Port5) IOC5 (I/O 端口控制寄存器 ) 06 R6 (Port6) IOC6 (I/O 端口控制寄存器 ) 07 保留 保留 08 保留 保留 09 保留 保留 0A 保留 保留 0B 保留 IOCB ( 下拉控制寄存器 ) 0C 保留 IOCC ( 漏极开路控制寄存器 ) 0D 保留 IOCD ( 上拉控制寄存器 ) 0E 保留 IOCE ( 看门狗定时器控制寄存器 ) 0F RF ( 中断转台寄存器 ) IOCF ( 中断屏蔽寄存器 ) 10 通用寄存器.. 2F T 图 5-3 数据存储器配置 S 第 5 页共 29 页 D F

6 5.1.4 R3( 状态寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 RST GP1 GPO T P Z DC C Bit 7(RST): 复位类型位 0: 代表其它复位类型引发唤醒复位方式 1: 代表引脚状态改变引发控制器从休眠模式唤醒方式 Bit 6~5(GP1~0): 通用读 / 写位 Bit 4(T): 时间溢出标志位, 执行 SLEP 或 WDTC 指令或上电后置 1,WDT 溢出是清 0. Bit 3 (P): 省电标志位 上电或执行 "WDTC" 指令时置 1, 执行 "SLEP" 指令时复位为 0 Bit 2 (Z): 零标志位 当算术或逻辑运算的结果为 0 时置 1 Bit 1 (DC): 辅助进位标志位 Bit 0 (C): 进位标志位 R4(RAM 选择寄存器 ) Bits 7 ~ 6: 为通用读 / 写位 见图 4 数据存储器配置 Bits 5 ~ 0: 在间接寻址模式下, 用于选择寄存器 ( 地址 :10F~2F) 参见图 4 所示数据存储器结构 R5 ~ R6 (Port 5 ~ Port 6) R5 和 R6 为 I/O 寄存器 R5 寄存器仅低 4 位可用 R5 寄存器的高 4 位固定为 0 P63 仅用作输入引脚 RF( 中断状态寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit EXIF ICIF TCIF 1 表示有中断请求, 0 表示没有中断产生 Bits 7 ~ 3: 未用 Bit 2 (EXIF): 外部中断标志位 由 /INT 引脚信号的下降沿触发置 1, 由软件清零 Bit 1 (ICIF): Port 6 输入状态改变中断标志位 Port 6 输入状态改变时触发置 1, 由软件清零 Bit 0 (TCIF): TCC 溢出中断标志位 TCC 溢出时置 1, 由软件清零 RF 寄存器可由指令清零, 但不由指令置 1 IOCF 寄存器为中断屏蔽寄存器 注意 : 从 RF 寄存器中的读取值为 RF 值和 IOCF 值的 逻辑与 的结果 R10~R2F 通用寄存器 5.2. 特殊功能寄存器 A( 累加器 ) 用于内部数据传输, 或指令操作数保持 不可寻址 第 6 页共 29 页

7 第 7 页共 29 页 CONT( 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 - /INT TS TE PAB PSR2 PSR1 PSR0 Bit 7: 未使用 Bit 6 (INT): 中断使能标志位. 0: 被 DISI 或硬件中断屏蔽 Bit 5 (TS): TCC 信号源选择位 1: 被 ENI/RETI 指令使能 0: 内部指令周期时钟,P62 为双向 I/O 引脚 1: TCC 引脚的跳变信号 Bit 4 (TE): TCC 信号边沿选择位 0: TCC 引脚信号由低变到高时 TCC 计数器加 1 1: TCC 引脚信号由高 变到低时 TCC 计数器加 1 Bit 3 (PAB): 预分频器分配位 0: TCC 1: WDT Bit 2 (PSR2) ~ 0 (PSR0): TCC/WDT 预分频比选择位 PSR2 PSR1 PSR0 TCC RATE WDT RATE : 2 1: : 4 1: : 8 1: : 16 1: : 32 1: : 64 1: : 128 1: : 256 1: 128 CONT 寄存器可读写 IOC5~IOC6 (I/O 控制寄存器 ) 为 1 时, 相关 I/O 引脚置为高阻态 ; 为 0 时, 相关 I/O 引脚置为输出 IOC5 寄存器仅低 4 位可被有效定 义 IOC5 和 IOC6 均为可读 / 写寄存器 IOCB( 下拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 - /PD6 /PD5 /PD4 - /PD2 /PD1 /PD0 Bit 7: 未使用 0: 使能内部下拉功能 1: 禁止内部下拉功能 Bit 6 (/PD6): P62 引脚下拉功能使能控制位 Bit 5 (/PD5): P61 引脚下拉功能使能控制位 Bit 4 (/PD4): P60 引脚下拉功能使能控制位 Bit 3: 未使用 Bit 2 (/PD2): P52 引脚下拉功能使能控制位 Bit 1 (/PD1): P51 引脚下拉功能使能控制位 Bit 0 (/PD0): P50 引脚下拉功能使能控制位 IOCB 为可读 / 写寄存器 IOCC ( 漏极开路控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 OD7 OD6 OD5 OD4 - OD2 OD1 OD0 Bit 7 (OD7): P67 引脚漏极开路功能使能控制. 0: 禁止漏极开路输出 1: 使能漏极开路输出 Bit 6 (OD6): P66 引脚漏极开路功能使能控制位

8 Bit 5 (OD5): P65 引脚漏极开路功能使能控制位 Bit 4 (OD4): P64 引脚漏极开路功能使能控制位 Bit 3: 未使用 Bit 2 (OD2): P62 引脚漏极开路功能使能控制位 Bit 1 (OD1): P61 引脚漏极开路功能使能控制位 Bit 0 (OD0): P60 引脚漏极开路功能使能控制位 第 8 页共 29 页 IOCC 为可读 / 写寄存器 IOCD ( 上拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /PH7 /PH6 /PH5 /PH4 - /PH2 /PH1 /PH0 Bit 7 (/PH7): P67 引脚上拉功能使能控制位 0: 使能内部上拉功能 1: 禁止内部上拉功能 Bit 6 (/PH6): P66 引脚上拉功能使能控制位 Bit 5 (/PH5): P65 引脚上拉功能使能控制位 Bit 4 (/PH4): P64 引脚上拉功能使能控制位 Bit 3: 未使用 Bit 2 (/PH2): P62 引脚上拉功能使能控制位 Bit 1 (/PH1): P61 引脚上拉功能使能控制位 Bit 0 (/PH0): P60 引脚上拉功能使能控制位 IOCD 为可读 / 写寄存器 IOCE (WDT 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 WDTE EIS Bit 7 (WDTE): 看门狗定时器使能控制位 0: 禁止 WDT. 1: 使能 WDT. WDTE 为可读 / 写位 Bit 6 (EIS): 定义 P60(/INT) 引脚功能的控制位 0: P60, 双向 I/O 引脚 1: /INT, 外部中断输入引脚 在此情况下,P60 引脚的 I/O 控制位 (IOC6 的 bit 0) 必须置为 1 当 EIS 位为 0,/INT 通道被屏蔽 当 EIS 为 1,/INT 引脚状态也可通 过读 Port 6 (R6) 寄存器的方式来读取 参考图 7 EIS 为可读 / 写位 Bit 5 ~ 0: 未使用 IOCF ( 中断屏蔽寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit EXIE ICIE TCIE Bit 7 ~ 3: 未使用 各中断可通过设置 IOCF 寄存器的相应控制位为 1 使能 全局中断可通过执行 ENI 指令使能, 通过执行 DISI 指令禁止 参考图 9 Bit 2 (EXIE): EXIF 中断使能位. 0: 禁止 EXIF 中断 1: 使能 EXIF 中断 Bit 1 (ICIE): ICIF 中断使能位 0: 禁止 ICIF 中断 1: 使能 ICIF 中断 Bit 0 (TCIE): TCIF 中断使能位 0: 禁止 TCIF 中断 1: 使能 TCIF 中断 IOCF 为可读 / 写寄存器

9 5.3. TCC/WDT 预分频器 TCC 和 WDT 共用一个由 8 位计数器构成的预分频器 在某一时刻, 预分频器只能分配给 WTD 和 TCC 两者之一 由 CONT 寄存器的 PAB 位设置预分频器的分配情况,PSR0~PSR2 设置预分频比 在 TCC 模式下, 每次向 TCC 寄存器写入值都会刷新 TCC 计数器 在 WDT 模式下, 看门狗定时器由 WDTC 指令清零 图 5-4 描绘了 TCC/WDT 的电路图 R1 (TCC) 为 8 位定时器 / 计数器 TCC 时钟源可为内部时钟或外部时钟 ( 由 TCC 引脚输入, 触发沿可 选择 ) 如果是内部时钟, 每个指令周期 TCC 加 1( 无预分频器 ) 由图 5 可知, 指令周期是 2 个还是 4 个时钟周期由代买选择寄存器 CLKS 位决定 CLKS=0 则 CLK=Fosc/2, CLKS= Fosc/4. 如果是外部时钟, 则 TCC 由外部信号边沿触发 WDT 是一个自由运行的片内 RC 振荡器 当振荡驱动器关闭后,WDT 依然运行, 如在休眠模式下即如 此 WDT 溢出将引起复位 ( 若 WDT 使能 ) 在正常工作下,WDT 可由软件设置 IOCE 寄存器的 WDTE 位来使能或禁止 在没有预分频情况下,WDT 溢出周期约为 18ms I/O 端口 I/O 端口 PORT5 PORT6 均为双向三态 I/O 口 P6 口除了 P63 外都可由软件设置为内部上拉或漏极开路输 出 P6 口具有输入状态变化中断 ( 或唤醒 ) 功能 P50~P52 P60~P62 可由软件设置为下拉 除 P63 外, 各 I/O 引脚可由 I/O 控制寄存器设置为输入或输出 I/O 寄存器和 I/O 控制寄存器均可读写 I/O 借口电路如图 第 9 页共 29 页

10 第 10 页共 29 页

11 第 11 页共 29 页

12 (Ⅰ)Port 6 输入状态改变触发唤醒 表 1 Port 6 输入状态改变唤醒 / 中断功能的用法 Port6 输入状态改变唤醒 / 中断功能的用法 (Ⅱ)Port 6 输入状态改变中断 (a) 休眠前 1. 读 Port 6 (b) 唤醒后 1. 禁止 WDT 2. 执行 ENI 2. 读 Port 6 3. 使能中断 ( 置 1I OCF.1) 3. 执行 ENI 或 DISI 指令 4. 如果 Port 6 输入状态改变 ( 中断 ) 4. 使能中断 ( 置 1LOCF.1) 中断向量 (008H) 5. 执行 SLEP 指令 5.5. 复位与唤醒 1. 如果 ENI 中断向量 (008H) 2. 如果 DISI 下一条指令 复位与唤醒功能复位可由下面情况引发 : (1) 上电复位 (2)/RESET 引脚输入为低 (3)WDT 溢出 ( 若 WDT 使能 ) 检测到复位状态后, 器件将保持在周期为大约 18ms 2 ( 振荡器起振时间周期 ) 的复位状态下 一旦发生复 位, 以下操作将被执行, 参考图 10. 振荡器运行或起振 ( 休眠模式下 ) 程序计 (R2) 所有位都设置为 0 所有 I/O 端口引脚被配置为输入模式 ( 高阻态 ) 看门狗定时器和预分频器清零 上电后,R3 寄存器的高 3 位清零 CONT 寄存器中, 除 Bit 6 (INT 标志位 ) 外, 其它所有位都置为 1 IOCB 寄存器的所有位置为 1 IOCC 寄存器清零 IOCD 寄存器的所有位置为 1 IOCE 寄存器的 Bit 7 置 1,Bit 4 和 Bit 6 清零 RF 寄存器的 Bits 0~2 和 IOCF 寄存器的 bits 0~2 清零 执行 SLEP 指令可进入休眠模式 ( 省电模式 ) 进入休眠模式时,WDT( 若使能 ) 清 0 但继续运行. 微控制器可被如下情况唤醒 : A) /RESET 引脚的外部复位信号输入 B) WDT 溢出 ( 若使能 ) C) PORT6 端口输入状态改变 ( 若使能 ) 前两种情况引起 78P153 复位 R3 的 T P 标志可用于确定复位源 第 3 种情况下唤醒后程序继续执行, 由中断状态来决定程序是否装入中断处理程序 如果在 SLEP 指令执行前执行 ENI 指令, 程序将从地址 0X08 处执行中断处理 如果在执行 SLEP 指令前执行 DISI 指令, 程序将从 SLEP 指令后继续执行 第 12 页共 29 页

13 进入休眠状态前,B C 两种情况只有一种可被使能 即 ⅰ. 如果休眠前 PORT6 输入唤醒使能, 则 WDT 应由软件禁止 ( 代码选择寄存器中 WDT 仍为使能 ) 因此,78P153 可被 A C 两种情况唤醒 ⅱ. 如果 WDT 使能, 则 PORT6 输入唤醒应禁止 因此,78P153 可被 A B 两种情况唤醒 注意 : 如果 PORT6 输入编号中断呗用于唤醒单片机, 则如下指令在 SLEP 指令前执行 : MOV ; 选择 TCC 内部时钟 CONTW CLR R1 ; TCC 和预分频器清 0 MOV ; 选择 WDT 预分频 CONTW WDTC ; 清 WDT 和预分频器 MOV ; WDT 禁止 IOW RE MOV R6, R6 ; 读 PORT6 端口 MOV ; 使能 PORT6 输入变化中断 IOW RF ENI (OR DISI ) SLEP / 禁止操作 ; 使能 ( 或禁止 ) 全局中断 ; 进入休眠模式 1. 从休眠模式唤醒后,WDT 被自动使能 所以在从休眠模式唤醒后, 应该在程序中合理的定义 WDT 使能 2. 为防止在 Port 6 输入状态改变中断进入中断向量或被用作唤醒 MCU 时产生复位,WDT 预分频比必须设 置为大于 1: 寄存器初值总结 Address Name Reset Type Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 Bit Name x x x x C53 C52 C51 C50 N/A IOC5 Power-on /RESET and WDT Wake-up from Pin P P P P Bit Name C67 C66 C65 C64 C63 C62 C61 C60 N/A IOC6 Power-on /RESET and WDT Wake-up from Pin P P P P P P P P Bit Name X X X X P53 P52 P51 P50 0x05 P5 Power-on /RESET and WDT P P P P P P P P Wake-up from Pin P P P P P P P P 第 13 页共 29 页

14 0x06 N/A 0x00 0x01 0x02 0x03 0x04 0x0F P6 CONT R0(IAR) R1(TCC) R2(PC) R3(SR) R4(RSR) RF(ISR ) Bit Name P67 P66 P65 P64 P63 P62 P61 P60 Power-on /RESET and WDT P P P P P P P P Wake-up from Pin P P P P P P P P Bit Name X /INT TS TE PAB PSR 2 PSR Power-on /RESET and WDT Wake-up from Pin 0 P P P P P P P Bit Name Power-on U U U U U U U U /RESET and WDT P P P P P P P P Wake-up from Pin P P P P P P P P Bit Name Power-on /RESET and WDT Wake-up from Pin P P P P P P P P Bit Name Power-on /RESET and WDT Wake-up from Pin *P *P *P *P *P *P *P *P Bit Name RST GP1 GP0 T P Z DC C Power-on 0 U U 1 1 U U U /RESET and WDT 1 P P t t P P P Wake-up from Pin 1 P P t t P P P Bit Name GP2 GP1 GP Power-on U U U U U U U U /RESET and WDT P P P P P P P P Wake-up from Pin P P P P P P P P 1 PSR0 Bit Name X X X X X EXI ICIF TCIF F Power-on /RESET and WDT 第 14 页共 29 页

15 0x0B 0x0C 0x0D 0x0E 0x0F 0x10~0 x2f IOCB IOCC IOCD IOCE IOCF R10~R2F 第 15 页共 29 页 Wake-up from Pin P P P Bit Name X PD6 PD5 PD4 X PD2 PD2 PD0 Power-on /RESET and WDT Wake-up from Pin P P P P P P P P Bit Name OD7 OD6 OD5 OD4 X OD2 OD1 OD0 Power-on /RESET and WDT Wake-up from Pin P P P P P P P P Bit Name /PH7 /PH6 /PH5 /PH4 X /PH2 /PH1 /PH0 Power-on /RESET and WDT Wake-up from Pin Bit Name P P P P P P P P WDT C EIS X X X X X X Power-on /RESET and WDT Wake-up from Pin P P Bit Name X X X X X EXIE ICIE TCIE Power-on /RESET and WDT Wake-up from Pin P P P P Bit Name Power-on U U U U U U U U /RESET and WDT P P P P P P P P Wake-up from Pin 惯例 : X: 未使用 U: 未知或不用关心 -: 未定义 P: 复位前的值 * 参考下节表格 (5.5.3 节 ) 状态寄存器的 RST,T 和 P 的状态复位可由以下事件引发 : 1. 上电, 2. /RESET 引脚上的高 - 低 - 高信号脉冲. 3. 看门狗定时器溢出 可用表 4 中的 RST T 和 P 标志位的取值判断唤醒处理器的事件源 P P P P P P P P

16 表 5 显示了可能会影响 RST T 和 P 标志位状态的事件 表 2 复位后 RST T 和 P 标志位的值 复位类型 RST T P 上电 正常模式下的 /RESET 引脚信号引发复位 0 *P *P 休眠模式下 /RESET 引脚信号触发唤醒 正常模式下的 WDT 溢出复位 0 0 P 休眠模式下的 WDT 溢出唤醒 休眠模式下的引脚输入状态改变触发唤醒 表 3 事件发生后 RST T 和 P 的状态 *P: 复位前的值 事件 RST T P 上电 WDTC 指令 *P 1 1 WDT 溢出 0 0 *P SLEP 指令 *P 1 0 休眠模式下引脚状态改变触发唤醒 *P: 复位前的值 5.6. 中断 图 5-9 控制器复位结构图 78P153 有如下三种下降沿触发中断源 : (1) TCC 溢出中断 (2) Port 6 输入状态改变中断 (3) 外部中断 [(P60, /INT) 引脚 ] 第 16 页共 29 页

17 在使能 Port 6 输入状态改变中断前, 读 Port 6 端口状态 ( 例如 :"MOV R6,R6") 是必要的 当引脚状态改变 时,Port 6 的每个引脚均具有此特性 但当引脚被配置为输出或 P60 引脚配置为 /INT 时, 相应引脚则失去此功 能特性 当通过执行 SLEP 指令使控制器进入休眠模式前,Port 6 输入状态改变功能被使能, 则 Port 6 输入状 态改变中断可使 78P153 从休眠模式唤醒 器件唤醒后, 如果全局中断被禁止, 控制器将从 SLEP 指令的下一条 指令处开始执行 ; 如果全局中断被使能, 控制器将跳转到中断向量 008H 处开始执行 RF 寄存器是中断状态寄存器, 它的相应标志位记录对应的中断请求 IOCF 寄存器是中断屏蔽寄存器 全 局中断可通过执行 ENI 指令使能, 通过执行 DISI 指令禁止 当产生某个中断 ( 若使能 ), 程序计数器将跳转到 地址 008H 处 在中断服务子程序中, 可通过查询 RF 寄存器的标志位的状态判断中断源 在离开中断服务子程 序前, 必须通过指令清除中断标志位, 这样可避免中断嵌套 当有中断请求时, 不管其相应中断屏蔽位的状态如何或者是否执行了 ENI 指令使能全局中断, 中断状态寄 存器 (RF) 中的相应标志位 (ICIF 位除外 ) 都将被置 1 注意, 从 RF 寄存器读取的值是 RF 和 IOCF 的逻辑 与的结果 ( 参考图 5-10) RETI 指令结束中断服务子程序并使能全局中断 ( 执行 ENI) 当中断是由外部中断源 INT 产生 ( 若使能 ), 程序计数器将会跳转到 001 地址处 5.7. 振荡器 图 5-10 中断输入电路 振荡模式 78P153 可运行在四种不同的振荡模式下, 即 : 内部 RC 振荡模式 (IRC) 外部 RC 振荡模式 (ERC) 高 频晶振模式 (HXT) 和低频晶振模式 (LXT) 用户可通过编程设置代码选项寄存器的 OSC1 和 OSC2 位选择某种振 荡模式 下表显示了这四种模式的定义方式 表 5-4 由 OSC 定义的振荡器模式 振荡模式 OSC1 OSC2 第 17 页共 29 页

18 IRC ( 内部 RC 振荡器模式 ) 1 1 ERC ( 外部 RC 振荡器模式 ) 1 0 HXT ( 高频晶振模式 ) 0 1 LXT ( 低频晶振模式 ) 0 0 注 : HXT 和 LXT 的系统频率临界点为 400kHz. 下表列出了晶体 / 谐振器在不同 VDD 条件下的最大工作频率 表 5-5 最大工作频率总结 条件 Vdd ( V ) 最高频率 ( MHz ) 1 个指令周期包含 2 个时钟周期 晶体振荡器 / 陶瓷谐振器 (XTAL) 78P153 可由通过 OSCI 引脚输入的外部时钟信号驱动, 如下图所示 图 5-11 外部时钟输入电路在大多数应用中, 引脚 OSCO 和 OSCI 引脚通常连接一个晶体或陶瓷谐振器来产生振荡, 图 5-12 描绘了一个这样的电路 HXT 模式和 LXT 模式都是以此种方式产生振荡 如图 在陶瓷振荡模式电路中必须在 OSCI 与 OSCO 之间串接阻值 1MΩ 的电阻 R1. 图 5-12 晶体谐振器电路 第 18 页共 29 页

19 TC78P153 ( 文件编号 :S&CIC0994) C1 OSC I B 78P1 53A R1 Reso nator OSC O C2 图 陶瓷谐振器电路 表 8 中提供了 C1 和 C2 的参考建议值 因为每个谐振器都有它自己的属性, 用户应参考它的用户手册以选 择合适的 C1 和 C2 对于 AT strip cut 型警惕或低频模式, 可能需要一个串接电阻 RS A 表 5-6 晶体振荡器或陶瓷谐振器匹配电容选择指南 振荡模式频率模式频率 C1 ( PF ) C2 ( PF ) 陶瓷谐振腔 晶体振荡器 HXT LXT 1 2 HXT 455KHz 100~ ~ MHz 20~40 20~ MHz 10~30 10~ KHz KHz KHz KHz 20~40 20~ MHz 15~30 15~ MHz MHz 注 : C1 和 C2 值仅供参考 外部 RC 振荡模式对于一些不需要精确计时的应用,RC 振荡器 ( 图 5-13) 提供了一种大幅节省成本的方案 然而, 应该注意 到,RC 振荡器的频率会受供电电压 电阻 (Rext) 电容 (Cext) 甚至工作温度的影响 另外, 因为生产过程的差异, 一个器件的频率与另外一个器件的频率也会存在细微的差别 为了维持在一个稳定的系统频率下,Cext 值应该大于 20 pf,rext 值不高于 1 M 如果它们不在此范围 内, 系统频率很容易受噪声 湿度和漏电流的影响 在 RC 振荡模式中,Rext 值越小, 其振荡频率越快 相反, 对一个非常小的 Rext 值, 例如 1 K, 振荡 器将变得不稳定 因为 NMOS 不能及时的释放电容电荷 基于以上原因, 必须时刻牢记, 供电电压 工作温度 RC 振荡器的元件特性 封装类型 PCB layout 等 因素都会对系统频率产生影响 第 19 页共 29 页

20 4 5 TC78P153 ( 文件编号 :S&CIC0994) 6 VCC Rex t OSC I 78P 153 Cex t 图 5-13 图 5-13 外部 RC 振荡模式电路 表 5-7 RC 振荡频率 电容电阻平均 Fosc 5V,25 平均 Fosc 3V, 25 ) 20PF 100PF 300PF 3.3K MHz MHz 5.1K MHz 2. 6 MHz 10K 1.4 MHz 1.4 MHz 100K 150 KHz 156 KHz 3.3K 1. 4 MHz MHz 5.1K 940 KHz 917 KHz 10K 476 KHz 480 KHz 100K 50 KHz 52 KHz 3.3K 595 KHz 570 KHz 5.1K 400 KHz 384 KHz 10K 200 KHz 203 KHz 100K KHz 20 KHz 1 注 : : 数据在 DIP 封装类型上测量 2 : 以上数据仅用作设计参考 3 : 频率偏移为 ±30% 内部 RC 振荡模式 78P153 提供了种通用的内部 RC 模式其默认频率为 4MHz 内部 RC 振荡模式还有其它频率值 :8MHz 1MHz 和 455KHz, 可通过编程设置代码选项位 RCM1 和 RCM0 选择内部 RC 振荡模式的四个频率值 这四个主 频均可通过编程代码选项位 CAL0~CAL2 进行校准 表 5-8 描述了 78P153 随供电电压 温度和制程变化的内 部 RC 频率偏移率 表 5-8 内部 RC 频率偏移率 (Ta=25, VDD=5 V± 5%, VSS=0V) 第 20 页共 29 页

21 内部 RC 温度 (0 ~70 ) 电压 (2.3V~5.5V) 偏移率 8MHz ±3% ±5% ±10% ±18% 4 MHz ±3% ±5% ±10% ±13% 1 MHz ±3% ±5% ±10% ±18% 455KHz ±3% ±5% ±10% ±18% 制程 注 : 以上数据为理论值, 仅用作设计参考 实际值可能随实际支出而有所不同 5.8 代码选项寄存器 78P153 有一个代码选项字, 它不位于用户程序存储空间 在执行用户程序时, 这些位不可被存取 总计 代码选项寄存器和用户 ID 寄存器组织如下 : Word0 Word1 Word2 Bit12~ Bit0 Bit1~ Bit0 Bit12~ Bit 代码选项寄存器 (Word 0) Word0 Bit 12 Bit 11 Bit 10 Bit 9 Bit 8 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 RESET /ENWDT CLK OSC1 OSC2 CS SUT1 SUTO TYPE RCOUT C2 C1 C0 Bit 12 (/RESET): 定义引脚 7 为复位引脚 0: 使能 /RESET 1: 禁止 /RESET Bit 11 (/ENWTD): 看门狗定时器使能位 0: 使能 1: 禁止 注意 : 当应用 port 6 引脚状态变化唤醒功能时, 此位必须使能, 但 WDTE 位 (IOCE 寄存器的 bit 6) 必 须禁止 Bit 10 (CLKS): 指令周期选择位 0: 两个振荡周期 1: 四个振荡周期 Bit 9 and Bit 8 ( OSC1 and OSC0 ): 振荡模式选择位表 5-9 由 OSC1 和 OSC0 定义的振荡模式 振荡模式 OSC1 OSC2 IRC( 内部 RC 振荡器模式 ) 1 1 ERC( 外部 RC 振荡器模式 ) 1 0 HXT( 高频 XTAL 振荡器模式 ) 0 1 LXT( 低频 XTAL 振荡器模式 ) 0 0 注 : HXT 和 LXT 系统频率的临界点为 400kHz. Bit 7 (CS): 代码保护位 0: 开启保护 1: 关闭保护 Bit 6 与 Bit 5 ( SUT1 and SUT0 ): 器件启动时间选择位表 5-10 器件启动时间选择位 SUT1 SUT0 * 启动时间 第 21 页共 29 页

22 1 1 18ms ms ms ms Bit 4 (Type):78P153 器件类型选择位 类型 * 表中数据为理论值, 仅供参考 系列 0 78P153 1 X Bit 3 (RCOUT): P64/OSCO 复用引脚用作振荡输出或 I/O 端口选择位 RCOUT 引脚功能 0 P64 1 OSCO Bit 2, Bit 1 和 Bit 0 ( C2, C1, C 0 ): 内部 RC 模式频率校准位 C2,C1,C0 必须设置为 1 代码选项寄存器 (Word 1) WORD1 Bit1 Bit0 RCM1 RCM0 Bit 1, and Bit 0 ( RCM1, RCM0): RC 模式选择位 RCM1 RCM0 * 频率 (MHz) KHz 用户 ID 寄存器 (Word 2) Bit12~ Bit0 XXXXXXXXXXXXX Bit 12~ 0: 用户的 ID 代码 5.9 上电探讨 在供电电压达到稳定状态前, 任何微控制器都不能确保正常工作 在用户应用中, 当电源关闭,Vdd 在电源再次开启前, 必须降到 1.8V 以下并保持在关断状态大约 10us 这样 78P153 将会复位并正常工作 如果 Vdd 上升得非常快 (50 ms 或更少 ), 额外的外部复位电路将工作的非常好 但是在涉及到关键应用的大多数情况下, 可能需要额外的器件来辅助解决上电问题 5.10 编程设置振荡器启动时间 代码选项字中的 SUT0 和 SUT1 可定义振荡器的启动时间 理论上, 启动时间范围在 4.5ms 到 72ms 第 22 页共 29 页

23 2 TC78P153 ( 文件编号 :S&CIC0994) 对于大多振器, 工作频率越低, 所需的启动时间越长 表 12 显示了振荡器启动时间值 5.11 外部上电复位电路 3 4 下图提供了一个利用外部 RC 电路产生复位脉冲的电路 脉冲宽度 ( 时间常数 ) 应该足够长以使 Vdd 达到最低工作电压 此电路用在供电电压上升很慢的情况 因为 /RESET 引脚的漏电流大约为 ±5 ma, 因此建议 R 值不要大于 40 KΩ 此时,/RESET 引脚电压保持在 0.2V 以下 二极管 (D) 在掉电时作为短路回路 电容 C 将快速充分放电 限流电阻 Rin 可防止高电流或 ESD( 静电释放 ) 灌入 /RESET 引脚 VDD /RES ET R D 78P1 53 Rin C 4 图 5-14 外部上电复位电路 残留电压保护 更换电池时, 器件电源 (Vdd) 关断, 但仍会存在残留电压 残留电压可能会掉到低于最小工作电压 Vdd, 但 不为零 此条件可能触发一个不良上电复位 下面两图显示了怎样为 78P153 简历残留电压保护电路 VDD VDD 78P1 53 Q1 33K 10K /RES ET 100K IN46 84 图 5-15 残留电压保护电路 1 第 23 页共 29 页

24 C TC78P153 ( 文件编号 :S&CIC0994) VDD VDD 78P1 53 Q1 R1 /RES ET R3 R2 B 图 5-16 残留电压保护电路 2 注 : * 图 5-15 和图 5-16 所示电路在设计上应该保证 /RESET 引脚电压高于 VIH(min) 指令集 指令集中的每条指令均是 13 位 指令分为一个操作码和一个或多个操作 一般情况下, 除非指令的执行改变了程序计数器的值 ("MOV R2,A", "ADD R2,A") 或者对 R2 的算术或逻辑操作 ( 例如. "SUB R2,A", "BS (C) R2,6", "CLR R2", )., 否则执行所有的指令都只占用单个指令周期 ( 一个指令周期包含 2 个振荡周期 ) 对于前面两种特殊的指令, 执行指令需要两个指令周期 如果由于某种原因, 指令周期不适合特定应用, 可尝试做如下修改 : (A) 改变指令周期为包含 4 个振荡周期 A (B) 在两个指令周期内执行,"JMP", "CALL", "RET", "RETL", "RETI" 或条件测试结果为 真 的条件转移指令 ("JBS", "JBC", "JZ", "JZA", "DJZ", "DJZA") 和向程序计数器写入的指令的执行均占用两个指令周期 事件 (A) 可通过设置代码选项位 CLK 来选择, 如果 CLK 为 0, 则一个指令周期包含两个振荡周期 ; 如果 CLK 为 1, 则一个指令周期包含 4 个振荡周期 注意 : 一旦在事件 (A) 中选择一个指令周期包含 4 个振荡周期,TCC 的内部时钟源应为 CLK=Fosc/4, 而 不是图 5 所示的 Fosc / 另外, 指令集具有如下特性 : (1) 任何寄存器的每个位都可被置 1 清零或直接测试 (2) I/O 寄存器可被当作通用寄存器 也就是, 相同的指令可操作 I/O 寄存器 符号 R 表示一个寄存器指示符, 用来指定指令操作哪个寄存器 ( 包括操作寄存器和通用寄存器 ) b 表示 一个位指示符, 指定位于 R 寄存器中会影响操作的位 K 代表一个 8 位或 10 位常数或立即数 二进制指令 十六进制 助记符 操作 受影响标志位 NOP 空操作 无 DAA A 累加器十进制调整 C CONTW A CONT 无 SLEP 0 WDT, 振荡器停振 T,P WDTC 0 WDT T,P rrrr 000r IOWR A IOCR 无 ENI 使能全局中断 无 DISI 禁止全局中断 无 第 24 页共 29 页 1

25 第 25 页共 29 页 RET [ 栈顶 ] PC 无 RETI 栈顶 ] PC, 使能全局中断无 CONTR CONT A 无 rrrr 001r IOR R IOCR A 无 rr rrrr 00rr MOV R,A A R 无 CLAR 0 A Z rr rrrr 00rr CLR R 0 R Z rr rrrr 01rr SUB A,R R-A A Z,C,DC rr rrrr 01rr SUB R, A R-A R Z,C,DC rr rrrr 01rr DECA R R-1 A Z rr rrrr 01rr DEC R R-1 R Z rr rrrr 02rr OR A,R A VR A Z rr rrrr 02rr OR R,A A VR R Z rr rrrr 02rr AND A,R A & R A Z rr rrrr 02rr AND R,A A & R R rr rrrr 03rr XOR A,R A R A Z rr rrrr 03rr XOR R,A A R R Z rr rrrr 03rr ADD A,R A + R A Z.C,DC rr rrrr 03rr ADD R,A A + R R Z,C,DC rr rrrr 04rr MOV A,R R A Z rr rrrr 04rr MOV R,R R R Z rr rrrr 04rr COMA R /R A Z rr rrrr 04rr COM R /R R Z rr rrrr 05rr INCA R R+1 A Z rr rrrr 05rr INC R R+1 R Z rr rrrr 05rr DJZA R R-1 A, 值为零则跳过下条指令无 rr rrrr 05rr DJZ R R-1 R, 值为零则跳过下条指令无 rr rrrr 06rr RRCA R R(n) A(n-1),R(0) C, C A(7) C rr rrrr 06rr RRC R R(n) R(n-1),R(0) C, C R(7) C rr rrrr 06rr RLCA R R(n) A(n+1),R(7) C, C A(0) C rr rrrr 06rr RLC R R(n) R(n+1),R(7) C, C R(0) C rr rrrr 07rr SWAPA R R(0-3) A(4-7),R(4-7) A(0-3) 无 rr rrrr 07rr SWAP R R(0-3) R(4-7) 无 rr rrrr 07rr JZA R R+1 A, 值为零则跳过下条指令无 rr rrrr 07rr JZ R R+1 R, 值为零则跳过下条指令无 0 100b bbrr rrrr 0xxx BC R,b 0 R(b) 无 0 101b bbrr rrrr 0xxx BS R,b 1 R(b) 无 0 110b bbrr rrrr 0xxx JBC R,b 如果 R(b)=0, 跳过下条指令无 0 111b bbrr rrrr 0xxx JBS R,b 如果 R(b)=1, 跳过下条指令无 1 00kk kkkk kkkk 1kkk CALL k PC+1 [SP],(Page, k) PC 无 1 2 3

26 1 01kk kkkk kkkk 1kkk JMP k (Page, k) PC 无 kkkk kkkk 18kk MOV A,k k A 无 kkkk kkkk 19kk OR A,k A k A Z kkkk kkkk 1Akk AND A,k A & k A Z kkkk kkkk 1Bkk XOR A,k A k A Z kkkk kkkk 1Ckk RETL k k A,[ 栈顶 ] PC 无 kkkk kkkk 1Dkk SUB A,k k-a A Z.C,DC E01 INT PC+1 [SP],001H PC 无 kkkk kkkk 1Fkk ADD A,k k+a A Z.C,DC 注 : 1 此指令仅适用于 IOC5~IOC6, IOCB ~ IOCF 2 指令不建议用于操作 RF 寄存器 3 此指令不能操作 RF 寄存器 6. 最大绝对值 项目 范围 温度范围 0 到 70 存储温度 -65 到 150 输入电压 -0.3V 到 +6.0V 输出电压 -0.3V 到 +6.0V 7. 电气特性 7.1. 直流电气特性 (Ta=0 ~70,VDD=5.0V±5%,VSS=0V) 符号 参数 条件 最小值 典型值 最大值 单位 Fxt XTAL: VDD 到 2.3V 1 条指令周期为 2 个时钟周期 DC 4.0 MHz Fxt XTAL: VDD 到 3V 1 条指令周期为 2 个时钟周期 DC 8.0 MHz Fxt XTAL: VDD 到 5V 1 条指令周期为 2 个时钟周期 DC 20.0 MHz ERC RC: VDD 到 5V R: 5K, C: 39 pf F-30% 1500 F+30% KHz IIL 输入引脚输入漏电流 VIN = VDD, VSS ±1 µa VIHI 输入高电压 (VDD=5.0V) Ports 5, V VILI 输入低电压 (VDD=5.0V) Ports 5, V VIHTI 输入高临界电压 (VDD=5.0V) /RESET, TCC ( 施密特触发 ) 2.0 V VILTI 输入低临界电压 (VDD=5.0V) /RESET, TCC ( 施密特触发 ) 0.8 V VIHXI 时钟输入高电压 (VDD=5.0V) OSCI 2.5 V VILXI 时钟输入低电压 (VDD=5.0V) OSCI 1.0 V VIH2 输入高电压 (VDD=3.0V) Ports 5, V 第 26 页共 29 页

27 VIL2 输入低电压 (VDD=3.0V) Ports 5, V VIHT2 输入高临界电压 (VDD=3.0V) /RESET, TCC ( 施密特触发 ) 1.5 V VILT2 输入低临界电压 (VDD=3.0V) /RESET, TCC ( 施密特触发 ) 0.4 V VIHX2 时钟输入高电压 (VDD=3.0V) OSCI 1.5 V VILX2 时钟输入低电压 (VDD=3.0V) OSCI 0.6 V VOH1 输出高电压 (Ports 5, 6) (P60~P63, P66~P67 为施密特 触发 ) VOL1 输出低电压 (P50~P53, P60~P63, P66~P67), (P60~P63, P66~P67 为施密特触 发 ) IOH = ma 2.4 V IOL = 12.0 ma 0.4 V VOL2 输出低电压 (P64,P65) IOL = 16.0 ma V IPH 上拉电流激活上拉, 输入引脚接 VSS ma IPD 下拉电流激活下拉, 输入引脚接 VDD µa ISB1 省电电流所有输入引脚和 I/O 引脚接 VDD, 输出引脚悬空,WDT 禁止 ISB2 省电电流所有输入引脚和 I/O 引脚接 ICC1 ICC2 ICC3 ICC4 工作供电电流 (VDD=3V) 在 2 个 CLKS 工作供电电流 (VDD=3V) 在 2 个 CLKS 工作供电电流 (VDD=5.0V) 在 2 个 CLKS 工作供电电流 (VDD=5.0V) 在 2 个 CLKS VDD, 输出引脚悬空,WDT 使能 /RESET= ' 高 ', Fosc=32KHz ( 晶振类型,CLKS="0"), 输出引脚悬空, WDT 禁止 /RESET= ' 高 ', Fosc=32KHz ( 晶振类型,CLKS="0"), 输出引脚悬空, WDT 使能 /RESET= ' 高 ', Fosc=4MHz ( 晶振类型, CLKS="0"), 输出引脚悬空 /RESET= ' 高 ', Fosc=10MHz ICC4 ( 晶振类型, CLKS="0"), 输出引脚悬空 注 :* 这些参数为特性值并已经过测试 7.2 交流电气特性 (Ta=0 ~ 70, VDD=5V ±5%, VSS=0V) 1 µa 10 µa µa µa 2.0 ma 4.0 ma 符号参数条件最小值典型值最大值单位 Dclk 输入时钟的占空比 % Tins 指令周期 (CLKS="0") 晶振类型 100 DC ns RC 类型 500 DC ns Ttcc TCC 输入时间周期 (Tins+20)/N* ns Tdrh 器件复位持续时间 Ta = 25 TXAL,SUT1,SUT0=1, % % ns 第 27 页共 29 页

28 Trst /RESET 脉冲宽度 Ta = ns *Twdt1* 看门狗定时器时间周期 Ta = 25 SUT1,SUT0=1,1 *Twdt2* 看门狗定时器时间周期 Ta = 25 SUT1,SUT0=1,0 3 *Twdt3* 看门狗定时器时间周期 Ta = 25 SUT1,SUT0=0,1 *Twdt4* 看门狗定时器时间周期 Ta = 25 SUT1,SUT0=0, % % ms % % ms % % ms 72-30% % ms Tset 输入引脚启动时间 0 ns Thold 输入引脚保持时间 20 ns Tdelay 输出引脚延迟时间 Cload=20pF 50 ns 注 : 这些参数为理论值, 未经测试 看门狗定时器的持续时间有代码选项 (Bit 6, Bit 5) 定义 *N = 所选预分频比 *Twdt1: 代码选项字 (SUT1,SUT0) 用于定义振荡器启动时间 在晶振模式下,WDT 溢出周期等于启动时间 (18ms) *Twdt2: 代码选项字 (SUT1,SUT0) 用于定义振荡器启动时间 在晶振模式下,WDT 溢出周期等于启动时间 (4.5ms) *Twdt3: 代码选项字 (SUT1,SUT0) 用于定义振荡器启动时间 在晶振模式下,WDT 溢出周期等于启动时间 (288ms) *Twdt4: 代码选项字 (SUT1,SUT0) 用于定义振荡器启动时间 在晶振模式下,WDT 溢出周期等于启动时间 (72ms) 8. 时序图 AC 测试输入 / 输出波形 测试点 AC 测试 : 输入为 2.4V 代表逻辑 1,0.4V 代表逻辑 0 时序测量以 2.0V 代表逻辑 1,0.8V 代表 0. 第 28 页共 29 页

29 TC78P153 ( 文件编号 :S&CIC0994) RESET 时序 (CLK= 0 ) NOP 执行指令 1 CLK /RESET Tdrh TCC 输入时序 (CLKS= 0 ) Tins CLK TCC Ttcc 3 4 第 29 页共 29 页 1 2

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

(CN)EM78P v

(CN)EM78P v 8 位 OTP ROM 微控制器 产品规格书 版本 1.7 义隆电子股份有限公司 2013.12 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2013 义隆电子股份有限公司所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc 8 位微控制器 产品规格书 版本 2.2 义隆电子股份有限公司 2010.04 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc 产品规格书 版本 1.0 义隆电子股份有限公司 2010.03 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2009~2010

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

untitled

untitled 8-Bit Microcontroller with Product Specification DOC. VERSION 1.4 ELAN MICROELECTRONICS CORP. July 2004 Trademark Acknowledgments: IBM is a registered trademark and PS/2 is a trademark of IBM. Windows

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

Chapter 1

Chapter 1 产品规格书 版本 1.3 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

Chapter 1

Chapter 1 8 位 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2016.3 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2016 义 隆 电 子 股 份 有

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

EMC单片机学习笔记.doc

EMC单片机学习笔记.doc EMC 单片机学习笔记 (0) 引子因为工作的缘故, 必须学习 EMC 单片机了 我会把我的学习历程写下来, 算是对坛子的一点贡献, 也算是自己的一个总结吧 因为以前学过 51 的和 PIC HOLTEK 的单片机, 并且也大致了解过 EMC 的指令集, 所以学起来并不是太难 为了学习, 而又没有仿真器, 于是去 emc 的网站下载了一个 simulator 来软件仿真 第一感觉还不错, 把里面的例子程序跑了一下,

More information

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Chapter 1

Chapter 1 8 位 OTP ROM 微控制器 产品规格书 版本 1.5 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

BJ2C004ANB

BJ2C004ANB BJ2C004A 规 格 书 (v1.0) 1 目录 1. 概叙... 4 2. 功能特性... 4 3. 功能框图...5 4. 管脚图... 5 4.1 管脚功能描述...6 5 存储器结构... 7 5.1 程序存储器...7 5.2 数据存储器... 7 6 功能介绍... 9 6.1 寄存器操作... 9 6.1.1 INDF ( 间接寻址寄存器 )... 9 6.1.2 TMR0 ( 定时

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

Chapter 1

Chapter 1 产品规格书 版本 1.2 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

HS2303-P

HS2303-P HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器

More information

Chapter 1

Chapter 1 8 位 OTP 微控制器 产品规格书 版本 1.7 义隆电子股份有限公司 2016.03 商标告之 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留 台湾印制 本规格书内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

标题

标题 本章学习目标 PIC16F87X 单片机与其他单片机相比具有一些独特的优点, 采用这些芯片构成的应用开发系统, 不仅可以提供最高的系统可靠性, 而且还能最大限度地减少外围器件, 以降低系统成本和功耗 掌握 PIC16F87X 单片机多功能的配置方式, 对于从事单片机应用开发工程人员来说, 是非常必要的, 同时也为其提供了广阔的选择空间 PIC16F87X 单片机的配置方式包括以下内容 : (1) 振荡器选择

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Microsoft Word - TM1621.doc

Microsoft Word - TM1621.doc 概述 TM1621 是 128 点 内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 :LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

EPROM/ROM-Based 8-Bit Microcontroller Series

EPROM/ROM-Based 8-Bit Microcontroller Series 这份文档里包含下列型号 : EPROM device(opt) EPROM/ROM-Based 8-Bit Microcontroller Series 功能特性 只有 42 个单字指令 除分支指令为两个周期指令以外其余为单周期指令 PC 寻址范围为 13-bit GOTO 指令能跳转到所有的 ROM/EPROM 地址空间 子程序能返回到所有的 ROM/EPROM 地址空间 能处理 8 位数据 5

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

管脚配置 底板插口配置 芯片大小 (mil) 2-2 -

管脚配置 底板插口配置 芯片大小 (mil) 2-2 - HOLTEK HT1621 LCD 驱动器 特性 * 工作电压 2.4 5.2V * 内嵌 256KHz RC 振荡器 * 可外接 32KHz 晶片或 256KHz 频率源输入 * 可选 1/2 或 1/3 偏压和 1/2 1/3 或 1/4 的占空比 * 片内时基频率源 * 蜂鸣器可选择两种频率 * 节电命令可用于减少功耗 * 内嵌时基发生器和看门狗定时器 WDT * 时基或看门狗定时器溢出输出

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3 3522-50/3532-50/3535 LCR http//www.hioki.cn HIOKI,, 3522/3532 LCR 5ms( 4 3522-50 DC1mHz~100kHz 3532-50 42Hz~5MHz 3535100kHz~120MHz 6ms BIN( 3535 0.08% DC 1mHz~100kHz(3522-50/42Hz~5MHz(3532-50 3535 Z0.5%

More information

WICE (Statement Syntax) (Assembler arithmetic operations) ( Program directives ) ( Conditional assem

WICE (Statement Syntax) (Assembler arithmetic operations) ( Program directives ) ( Conditional assem WICE 1.......10 2. (Statement Syntax)...10 3....11 4. (Assembler arithmetic operations)......11 5. ( Program directives )... 12 6. ( Conditional assembly )...15 7. ( Reserved word )...17 (debugger)...

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

MPW 项目简介

MPW 项目简介 概述 TM1621 是内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 功能特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

QG153中文版说明书.docx

QG153中文版说明书.docx EPROM/ROM-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 注意事项...4 2.0 功能特性...5 3.0 概述...6 4.0 结构图...6 5.0 管脚图...7 5.1 管脚功能描述......7 6.0 存储器结构...8 6.1 程序存储器...8 6.2 数据存储器...9 7.0 功能介绍...11 7.1 寄存器操作...11

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR)

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR) 第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介... 40-2 40.2 复位时的时钟源选择... 40-5 40.3 上电复位 (POR)... 40-5 40.4 MCLR 复位... 40-7 40.5 软件 RESET 指令 (SWR)... 40-7 40.6 看门狗定时器复位 (WDTR)... 40-7 40.7 欠压复位 (BOR)... 40-8

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 查询 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 8 位数据总线 EPROM 大小 :2K 内部 RAM 大小 :160 字节 (128 通用目的寄存器,32 特殊寄存器 ) 37

More information

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或 8 位 OTPROM 微控制器 产品规格书 版本 1.4 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

Microsoft Word - PDF_EM78F64X_54XSeriesProdSpec__CN__v1.2.doc

Microsoft Word - PDF_EM78F64X_54XSeriesProdSpec__CN__v1.2.doc EM7F 64/644/642/641N 54/544/542/541N 位 闪 存 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2013.02 本 的 错 随 文 是 原 内 为 稿 容 了 的 是 更 由 的 新 英 阅, 读 文 规 更 中 格 加 可 书 方 能 翻 便 存 译 在 而 翻 它 来 译 无 上 法, 的 跟 目 确 误 的 信,

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 - 192 个数据存储器 工作电压 : - f OSC = 30kHz - 4MHz,V DD = 2.4V - 5.5V - f OSC = 4MHz - 10MHz,V DD = 4.5V

More information