HS2303-P

Size: px
Start display at page:

Download "HS2303-P"

Transcription

1 HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器 SRAM 等电路, 具有睡眠模式 唤醒睡眠模式等 2. 特征 宽工作电压范围 :2V~5.5V 工作温度范围 :-20 ~70 工作频率范围 : 内置 RC 4MHz±1.5% 低功耗 : 在休眠模式时小于 1uA 1K*13 位片内 ROM 32*8bits 片内寄存器组 (SRAM, 一般寄存器 ) 2 组标准双向 I/O 端口 5 级用于子程序嵌套的堆栈 一个代码加密位 两个中断源 一个 8 位定时器 内置电源检测电路 节能省电模式 (SLEEP 模式 ) 大部分指令为单周期指令 T_KEY 模式时, 最多可形成 66 个按键 M_KEY 模式时, 最多可形成 32 个按键 可以作为通用 MCU 使用特性选择列表 CHIP ROM(Byte) RAM(Byte) 堆栈 I/O 封装 HS9100-T 1K* 个 SOP16 第 1 页共 21 页 Ver. 4.00L

2 3. 管脚信息 3.1 管脚图 图 3.1 SOP16 封装 3.2 管脚说明 名称 类型 功能 VDD P 电源提供 S0/PA0 ~S7/PA7 I/O 1 T_KEY 扫描管脚,( 可通过寄存器设置睡眠时的动态扫描脚 ), 应用于不同方案的键盘电路 2 双向输入输出管脚, 作输入时可设置有无上拉电阻 S8/PB0~S11/PB3 I/O 1 T_KEY 扫描管脚,( 可通过寄存器设置睡眠时的动态扫描脚 ), 应用于不同方案的键盘电路 2 双向输入输出管脚, 作输入时可设置有无上拉电阻 S12/PB4 准双向 I/O 1 T_KEY 扫描管脚,( 可通过寄存器设置睡眠时的动态扫描脚 ), 应用于不同方案的键盘电路 2 准双向输入输出管脚, 强 0 弱 1 PB7/REM I/O 1 普通 I/O 口 2 NMOS 开漏输出, 低电平驱动约 300mA INT I 外部中断管脚 REM O 信号输出端, 可配置内置驱动 GND P 地 注 : I: 输入 ;O: 输出 ;P: 电源 3.3 在线烧写 烧写脚位 : 烧写脚位 对应 SOP16 封装 IC 脚位 功 能 VPP 2 烧写时高压管脚 第 2 页共 21 页 Ver. 4.00L

3 MODEL 3 OTP 烧写时的模式输入端 ; SCK 5 OTP 烧写时的时钟输入端 ; SDA 14 OTP 烧写时的数据输入输出端 4. 系统框图 5. 存储器结构 HS9100-T 的存储器是由程序存储器和数据存储器构成 5.1 程序存储器 HS9100-T 有 10 位程序计数器 PC, 能够寻址 1K 13 个地址空间 复位时, 程序计数器的地址为 000H 响应中断后,PC 地址转到 0008H 执行 CALL/JMP 执行全地址跳转 5 级 10 位 STACK, 可以实现 5 级程序嵌套 第 3 页共 21 页 Ver. 4.00L

4 5.2 数据存储器 表 5-1 数据存取器地址分布 地址 功能描述 00H 01H PCL 02H OPTION 03H STATUS 04H 05H PORTA 06H PORTB 07H 08H TMR0 09H 0AH INTCON 0BH 0CH 0DH PAM0 0EH PAM1 0FH 10H PBM0 11H PBM1 12H~1FH 20H.. 通用寄存器 3FH 表 5-2 数据存取器结构 地址 名称 BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0 00H 01H PCL 程序计数器低八位 02H OPTION Wk_ctrl IE_sel PS_sel PS2 PS1 PS0 TR0 WDTE 03H STATUS LVD PB_ctrl PA_ctrl /T /P Z DC C 04H 05H PORTA PORTA 数据寄存器 06H PORTB REM PB0~PB3 数据寄存器 07H 08H TMR0 定时器低 8 位 第 4 页共 21 页 Ver. 4.00L

5 09H 苏州华芯微电子股份有限公司 0AH INTCON GIE T0IE T0IF INTE INTF 0BH 0CH 0DH PAM0 PORTA 端口控制寄存器 0 0EH PAM1 PORTA 端口控制寄存器 1 0FH 10H PBM0 PORTB 端口控制寄存器 0 11H PBM1 PORTB 端口控制寄存器 1 12H 1FH 08h 1Fh 通用 寄存器 RAM 6. 操作寄存器 6.1 PCL( 程序计数器 ) 与堆栈 地址名称 B7 B6 B5 B4 B3 B2 B1 B0 01H(r/w) PCL 程序计数器的低字节 PCL 和硬件堆栈有 10 位宽, 其结构如图 6.1 所示 产生 1K*13bits 片内 OTP ROM 地址以获取对应程序指令代码 复位后 PCL 的所有位均清零 JMP 指令直接装载 PC 低十位 因此, JMP 指令跳转范围为一个程序页面内 CALL 指令加载 PC 的低十位, 然后 PC+1 进入堆栈 因此, 子程序的入口地址限制在同一个程序页面内 RET RETLA K 指令将栈顶数据装入 PC ADDM [PCL],1 允许 A 的值加到当前 PC,PC 的第 9 10 位自然进位 MOV [PCL] 允许将寄存器 A 的值装入 PC 的低 8 位, 同时 PC 的第 9 10 比特位保持不变 改变 PC 内容的指令需要 2 个指令周期, 除此之外, 所有的指令均只需 1 个指令周期 第 5 页共 21 页 Ver. 4.00L

6 图 6.1 程序计数器结构 6.2 OPTION( 功能寄存器 ) 地址 名称 B7 B6 B5 B4 B3 B2 B1 B0 02H(r/w) OPTION Wk_ctrl IE_sel PS_sel PS2 PS1 PS0 TR0 WDTE WDTE: 看门狗控制位 0: 该位与 config 使能共同有效 二者同时有效时, 看门狗才能定时有效 1: 看门狗定时器无效 TR0:TMR0 使能控制位 1:TMR0 启动, 从当前值开始计数 0:TMR0 停止 PS2~PS0:WDT 或 TCC 预分频系数, 通过设置不同的值, 可以实现不同的看门狗复位时间或者 TCC 计数时间 PS2 PS1 PS0 WDT 的分频比 TCC 的分频比 :1 1: :2 1: :4 1: :8 1: :16 1: :32 1: :64 1: :128 1:128 WDT 溢出时间分频数为 1 时 : 非睡眠 WDT 溢出时间 = 28ms; 睡眠 WDT 溢出时间 = 28.4ms 分频数为大于 1 时 : 非睡眠 WDT 溢出时间 = T* 分频数 *1.5; 第 6 页共 21 页 Ver. 4.00L

7 睡眠 WDT 溢出时间 = T* 分频数 *2 (T 为管脚自动扫描周期,3V 时 T=13ms) PS_sel: 预分频选择位 1: 预分频给 TMR0 0: 预分频给 WDT IE_sel:PB7/REM 功能选择位 1: 内置驱动使能 ( 大驱动 NMOS 开漏输出 ) 0: 为普通 I/O 口 WK_sel: 端口唤醒控制位 1: 端口唤醒禁止 0: 端口唤醒有效, 端口由高变低唤醒 6.3 STATUS( 状态寄存器 ) 地址 名称 B7 B6 B5 B4 B3 B2 B1 B0 03H(r/w) STATUS LVD PB_ctrl PA_ctrl T P Z DC C C: 进位 / 借位位 1: 有进位或无借位 0: 无进位或有借位 DC: 半进位 / 借位位 (ADDM, ADDLM, SUBLM, SUBM 指令 ) 1: 第 3 位向第 4 位有进位或第 3 位向第 4 位无借位 0: 第 3 位向第 4 位无进位或第 3 位向第 4 位有借位 Z: 零位 1: 算术运算或逻辑运算的结果为零 0: 算术运算或逻辑结果的结果非零 P: 低功耗位 1: 上电之后或者执行 CLRWDT 指令之后 0: 执行 SLEEP 指令 T: 时间溢出位 1: 上电之后或者执行 SLEEP 或 CLRWDT 指令之后 0: 看门狗定时器溢出 PA_ctrl:PORTA 端口扫描使能位 1: 当端口处于输入输出模式时, 相应端口会出现扫描信号 0: 无论端口处于什么状态, 都不会出现扫描信号 第 7 页共 21 页 Ver. 4.00L

8 PB_ctrl:PORTB 端口扫描使能位 1: 当端口处于输入输出模式时, 相应端口会出现扫描信号 0: 无论端口处于什么状态, 都不会出现扫描信号 LVD:2.4V 低压检测标志位, 只读 1: 电源低于 2.4V 0: 电源高于 2.4V 6.4 PORTA 和 PORTB( 端口数据寄存器 ) 地址名称 B7 B6 B5 B4 B3 B2 B1 B0 05H(r/w) PORTA PA7 PA6 PA5 PA4 PA3 PA2 PA1 PA0 06H(r/w) PORTB PB7 - - PB4 PB3 PB2 PB1 PB0 6.5 TMR0( 定时 / 计数器 0) 地址名称 B7 B6 B5 B4 B3 B2 B1 B0 08H(r/w) TMR0 定时计数器 0 TMR0 是一个 8 位的定时定时器 其时钟源为系统时钟 当定时器从 FFh 00h 时, 产生溢出信号,T0IF 置 1, 如果中断打开, 则进入中断服务程序 T0IF 需软件清 0., 对 TMR0 写入时值时, 预分频器如果分配给定时器, 则会被清零 6.6 INTCON( 中断控制寄存器 ) 地址 名称 B7 B6 B5 B4 B3 B2 B1 B0 0AH(r/w) INTCON GIE T0IE T0IF INTE INTF INTF: 外部中断 (PB3 下降沿 ) 标志 中断时置 1, 软件清 0 1: 有效 0: 无效 INTE: 外部中断 (PB3 下降沿 ) 使能位 1: 使能外部中断 0: 禁止外部中断 T0IF:TMR0 溢出中断标志位, 中断时置 1, 软件清 0 1: 有效 0: 无效 T0IE:TMR0 溢出中断使能位 1: 使能 TMR0 溢出中断 0: 禁止 TMR0 溢出全局中断 Bit6_Bit4: 第 8 页共 21 页 Ver. 4.00L

9 GIE: 全局中断使能位 1: 使能全局中断 0: 禁止全局中断注 : 在中断程序中,GIE 是不能清 0 的 即使清 0,RETI 指令执行后也会重新置 PAM1 和 PAM0(PORTA 端口控制寄存器 ) 地址 名称 B7 B6 B5 B4 B3 B2 B1 B0 0DH(r/w) PAM0 PORTA 端口控制寄存器低位 0EH(r/w) PAM1 PORTA 端口控制寄存器高位 通过 PAM1 和 PAM0 可以配置 PORTA 不同工作模式, 详见下表 PAM1 PAM0 PORTA 端口功能 1 1 输出, 强 0 或强 准输入输出端口 实际为输出口, 只是输出弱 1 强 0 如果此时 PA_ctrl 为 1, 则相应端口会输出扫描信号 0 1 输入且有上拉电阻 需配置完 PAM1 PAM0 后向端口写 1 此电阻与准输入输出口输出 1 时一致 电阻约 30K 左右 (VDD=3V) 0 0 纯输入态 6.8 PBM1 和 PBM0(PORTB 端口控制寄存器 ) 地址 名称 B7 B6 B5 B4 B3 B2 B1 B0 0DH(r/w) PBM0 PORTB 端口控制寄存器低位 0EH(r/w) PBM1 PORTB 端口控制寄存器高位 通过 PBM1 和 PBM0 可以配置 PORTB 不同工作模式, 详见下表 PBM1 PBM0 PORTB[3:0] 端口功能 1 1 输出, 强 0 或强 准输入输出端口 实际为输出口, 只是输出弱 1 强 0 如果此时 PB_ctrl 为 1, 则相应端口会输出扫描信号 0 1 输入且有上拉电阻 需配置完 PAM1 PAM0 后向端口写 1 此电阻与准输入输出口输出 1 时一致 电阻约 30K 左右 (VDD=3V) 0 0 纯输入态 PBM1 PBM0 PORTB[4] 端口功能 1 1 输出, 强 0 弱 准输入输出端口 实际为输出口, 只是输出弱 1 强 0 如果此时 PB_ctrl 为 1, 则相应端口会输出扫描信号 0 X 悬空 IE_sel PBM1 PBM0 PORTB[7] 端口功能 输出, 强 0 或强 1 第 9 页共 21 页 Ver. 4.00L

10 0 1 0 准输入输出端口 实际为输出口, 只是输出弱 1 强 输入且有上拉电阻 需配置完 PAM1 PAM0 后向端口写 1 此电阻与准输入输出口输出 1 时一致 电阻约 30K 左右 (VDD=3V) 纯输入态 1 X X 内置驱动使能, 大驱动 NMOS 开漏输出, 控制逻辑同普通 IO 口 6.9 R20~R3F 所有这些寄存器都是八位通用寄存器 6.10 ACC( 累加器 ) 内部数据传输, 指令操作数暂存, 它不可以被寻址 6.11 CODE 操作寄存器 HS9100-T 有一个代码选择寄存器, 它不属于常规程序存储器 在常规执行程序期间, 不可被访 问 WORD0 Bit12 Bit11 Bit10 Bit9 Bit8 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 ENWDT CP CAL7 CAL6 CAL5 CAL4 CAL3 CAL2 CAL1 CAL0 Bit12~Bit11: Bit10(ENWDT): 看门狗定时器使能位 (Twdt=1 个管脚扫描周期 ) 1: 看门狗使能 0: 看门狗禁止 Bit9(CP): 代码加密位 1: 加密 0: 不加密 Bit7~Bit0(C7~C0): 内部 RC 的修调位,C7~C0 只能被置为 1 第 10 页共 21 页 Ver. 4.00L

11 7. 振荡器 振荡器有内置 RC 振荡器, 系统时钟为振荡器时钟的二分频 7.1 内置 RC 振荡器 内置 RC 振荡器主频 4MHz, 精度 ±1.5% 8. WDT 看门狗定时器 看门狗定时器是由内部慢速 RC 振荡器独立提供的, 不需要外部时钟源 在 SLEEP 模式中, 如果使能 WDT, 则 WDT 可以继续计数, 用来唤醒睡眠 如果 ENWDT = 0, 则 WDT 不工作 CLRWDT 指令可以清除 WDT, 防止看门狗溢出 WDT 计数器, 可以进行预分频, 与 TCC 预分频共用, 通过 OPTION 可选,WDT 的时钟和管脚扫描的时钟是共用的 所以, 扫描键值时尽量不用 T-KEY 模式扫描, 否则执行 CLRWDT 指令时, 会影响 T 型的扫描的序列 为实现更多的按键, 通常在睡眠时, 将参与键盘扫描的管脚配置成 T_KEY, 便于按键唤醒, 唤醒后再配置成 M_KEY, 在 M_KEY 模式下编写键盘扫描程序 9. 中断 HS9100-T 有两种中断方式 : 1 外部 INT 管脚中断 ( 下降沿 ) 2 定时器溢出中断全局中断使能位 GIE(INTCON<7>), 置 1 则允许所有为屏蔽的中断, 清 0 则关闭所有中断 中断发生时 GIE 位 ( 在中断发生前 GIE 位和该中断相关的中断屏蔽位置 1) 被硬件清零从而禁止进一步中断, 同时下条指令跳到 008h 处开始执行 中断标志位在 GIE 重新置 1 的前由软件清零以防止重复中断 执行 RETI 指令将退出中断, 并且会使 GIE 重新置位一个中断标志位会被它的中断事件置 1, 而不管与它相关的中断使能位是否为 睡眠省电模式 执行 SLEEP 指令后芯片进入睡眠省电模式 当 SLEEP 指令执行后,P 位 (STATUS<3>) 被清 0,T 位被置 1, 看门狗定时器被清 0 后继续运行 ( 如果 WDTE=1), 振荡器关闭, 系统时钟停止 SLEEP 模式下, 看门狗溢出后, 执行 SLEEP 后的指令 ; 非 SLEEP 模式, 看门狗溢出将导致芯片复位 第 11 页共 21 页 Ver. 4.00L

12 10.1 从 SLEEP 状态唤醒 芯片可以由下列事件中从 SLEEP 状态唤醒 : 1 PORTA,PORTB 端口电平变化 ( 由高到低 ) 时唤醒 ; 2 BOR 唤醒 ; 3 WDT 溢出唤醒 BOR 掉电复位会使系统复位, 从而唤醒系统 如果系统被 PB3/INT 唤醒 ( 由高到低, 且端口唤醒使能 ), 则相应的中断标志位被置位, 不论 GIE 为何种状态 如果 GIE=1, 则唤醒后程序从中断服务程序处 (008h) 开始执行, 如果 GIE=0, 则程序从 SLEEP 后的下一个 PC 处开始执行 如果端口唤醒使能关闭,PB3/INT 管脚是不能唤醒系统的 11. 复位 系统复位有下列方式 : 1 上电复位(POR) 2 掉电复位(BOR) 3 看门狗溢出复位 任何一种复位到系统正常工作至少要经历一个管脚扫描周期, 在 3V 下大约是 14ms 寄存器复位后的状态见下表 : 表 11-1 寄存器复位状态 地址 寄存器 POR 其他复位 00H 01H PCL H OPTION uuuu uuuu 03H STATUS xxx 000# #uuu 04H 05H PORTA H PORTB H 08H TMR0 xxxx xxxx uuuu uuuu 09H 0AH INTCON BH 0CH 0DH PAM EH PAM FH 10H PBM H PBM 第 12 页共 21 页 Ver. 4.00L

13 12H~1FH 20H.. 3FH 通用寄存器 xxxx xxxx uuuu uuuu 说明 : u 未改变 ; x 未知 ; 未使用 ; # 参考表 11.2 表 11.3 表 11.2 复位后 T/P 状态 T P 条件 1 1 上电复位 POR 1 1 欠压复位 BOR 0 1 正常工作情况下,WDT 复位 0 0 睡眠期间,WDT 复位 表 11.3 影响 T/P 的事件 事件 T P 上电 1 1 WDT 溢出 0 u 执行 SLEEP 指令 1 0 执行 CLRWDT 指令 1 1 说明 :u = 未改变 第 13 页共 21 页 Ver. 4.00L

14 12. 指令集 助记符 指令描述 周期 二进制代码 标志位 备注 CLRA ACC Z MOV [M] ACC [M] mm mmmm None MOVM [M],d [M] DEST dmm mmmm Z 寄存器操作指令 ORM [M],d ACC M dmm mmmm Z SUBM [M],d [M]-ACC-> DEST dmm mmmm C,DC,Z ADDM [M],d [M]+ACC-> DEST dmm mmmm C,DC,Z XORM [M],d ACC [M]-> DEST dmm mmmm Z DECM [M],d [M]-1-> DEST dmm mmmm Z DECMSZ [M],d [M]-1-> DEST, 是 0, 则 SKIP 1(2) dmm mmmm None INCM [M],d [M]+1-> DEST dmm mmmm Z INCMSZ [M],d [M]+1-> DEST, 是 0, 则 SKIP 1(2) dmm mmmm None RRM [M],d [M] 带 C 循环右移 -> DEST dmm mmmm C RLM [M],d [M] 带 C 循环左移 -> DEST dmm mmmm C SWAPM [M],d [M] 高 4 位低 4 位互换 -> DEST dmm mmmm None COMM [M],d [M] 取反 -> DEST dmm mmmm Z ANDAM [M],d ACC & [M]-> DEST dmm mmmm Z CLRM [M] [M]-> mm mmmm Z 位操作指令 BCM [M],b Bit Clear [M] b bbmm mmmm None BSM [M],b Bit Set [M] b bbmm mmmm None BTMSC [M],b If M[b]=0,then SKIP 1(2) 0 110b bbmm mmmm None BTMSS [M],b If M[b]=1,then SKIP 1(2) 0 111b bbmm mmmm None 立即数及其他操作指令 RETLA k k ACC 且程序返回 kkkk kkkk None MOVLA k k ACC kkkk kkkk None SUBLA k k - ACC ACC kkkk kkkk C,DC,Z ANDLA k ACC & k ACC kkkk kkkk Z ORLA k ACC k ACC kkkk kkkk Z ADDLA k ACC + k ACC kkkk kkkk C,DC,Z XORLA k ACC k ACC kkkk kkkk Z CALL k 子程序调用 kk kkkk kkkk None 第 14 页共 21 页 Ver. 4.00L

15 JMP k 跳转到目标地址 kk kkkk kkkk None NOP - 空操作 None CLRWDT - WDT-> None SLEEP - 睡眠 None RET - 子程序返回 None RETI STACK->PC, 中断使能 None 说明 : 1 振荡器时钟经过二分频得到系统时钟 ; 2 指令周期等于系统时钟频率的倒数 ; 3 改变 PC 内容的指令需要 2 个指令周期, 除此之外, 所有的指令均只需 1 个指令周期 第 15 页共 21 页 Ver. 4.00L

16 13. 电气参数 13.1 极限参数 参数 符号 参数范围 单位 电源电压 Vcc -0.3~5.5 V 输入电压 Vi -0.3~Vcc+0.3 V 输出电压 Vo -0.3~Vcc+0.3 V 最大功耗 (Vcc=3V, 空载 ) Pa 10 mw 工作温度 Topr -20~+70 贮存温度 Tstg -40~ DC 特性 (TEMP=25 ) 参数 符号 测试条件 最小值 典型值 最大值 单位 电源电压 Vcc V 静态电流 Icc VDD=3V 振荡器停振 1 µa I/O 管脚输入高电压 VIH VDD=3V 1.89 V I/O 管脚输入低电压 VIL VDD=3V 1.14 V REM 灌电流 ( 内置驱动 ) IOL/REM VDD=3V,VOL=0.2VDD 300 ma PB7 ( 关闭内置驱动 ) PA0~PA7 驱动强 0 强 1 PB0~PB3 驱动强 0 强 1 准双向 I/O 时高电平驱动电流弱 1 PB4 驱动 IOH/REM VDD=3V,VOH=0.8VDD 10 IOL/REM VDD=3V,VOL=0.2VDD 15 ma IOL VDD=3V,VOL=0.2VDD 13.8 ma IOH VDD=3V,VOH=0.8VDD 10 ma IOL VDD=3V,VOL=0.2VDD 15 ma I OH VDD=3V,V OH=0.8VDD 10 ma IOH VDD=3V,VOH=0.8VDD 35 ua IOL VDD=3V,VOL=0.2VDD 12.8 ma IOH VDD=3V,VOH=0.8VDD μa 13.3 AC 特性 (TEMP=25 ) 参数 符号 测试条件 最小值 典型值 最大值 单位 工作频率 F 2~5.5V 4M Hz 上电复位时间 OST Tp ms 看门狗复位时间 Twdt Tp ms 第 16 页共 21 页 Ver. 4.00L

17 端口由低到高 上升时间 端口由高到低 下降时间 Tr VDD>2.3V 2 us Tf VDD>2.3V 20 ns 注 :Tp 为静态下管脚扫描周期 (3V 时约为 14.6ms),n 为分配的预分频系数 第 17 页共 21 页 Ver. 4.00L

18 14. 应用电路 ( 没有列出所有应用模式 ) 14.1 正脉冲 ( 高电平发码, 带外接三极管 ) T_KEY 键盘, 以 66 个按键外接一个指示灯为例 盘为例 M_KEY 键盘,12 个端口可以任意设置输入输出状态, 也可以和地扩展一排键盘, 以 4 8 的键 第 18 页共 21 页 Ver. 4.00L

19 14.2 负脉冲 ( 低电平发码, 不带外接三极管 ) T_KEY 键盘, 以 66 个按键外接一个指示灯为例 盘为例 M_KEY 键盘,12 个端口可以任意设置输入输出状态, 也可以和地扩展一排键盘, 以 4 8 的键 说明 : 图中电阻电容参数仅供参考值, 请以实际应用为准 第 19 页共 21 页 Ver. 4.00L

20 15. 封装及尺寸 15.1 SOP16 封装图及尺寸 封装图 尺寸 Symbol Dimensions In Millimeters Dimensions In Inches Min Max Min Max A A A b c D E E e 1.270(BSC) 0.050(BSC) L θ 第 20 页共 21 页 Ver. 4.00L

21 Information furnished is believed to be accurate and reliable. However, HuaXin Micro-electronics assumes no responsibility for the consequences of use of such information nor for any infringement of patents or other rights of third parties which may result from its use. The actual part delivered may not completely agree with the description written here and it is user s responsibility to make wise judgment on the performance. HuaXin Micro-electronics assumes no responsibility for the mismatch occurred. No license is granted by implication or otherwise under any patent or patent rights of HuaXin Micro-electronics. Specifications mentioned in this publication are subject to change without notice. This publication supersedes and replaces all information previously supplied. HuaXin Micro-electronics products are not authorized for use as critical components in life support devices or systems without express written approval of HuaXin Micro-electronics. 第 21 页共 21 页 Ver. 4.00L

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC162 SZFC 2017/5/26 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉,PB3 可做标准 IO 口 *4 级硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 2016 年 6 月

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

5. 电路框图 深圳市福田区华强北路 号深纺大厦 B 座 88 室 Tel: Fax: 极限参数 (Ta=5 ) 参数 符号 范围 单位 电源电压 Vcc -. ~ 5.5 V 输入电压 Vi -. ~ Vcc+. V 输出电压 Vo -. ~ Vcc+.

5. 电路框图 深圳市福田区华强北路 号深纺大厦 B 座 88 室 Tel: Fax: 极限参数 (Ta=5 ) 参数 符号 范围 单位 电源电压 Vcc -. ~ 5.5 V 输入电压 Vi -. ~ Vcc+. V 输出电压 Vo -. ~ Vcc+. . 概述 S 58P 产品说明书 Ver. S58P 是采用低耗高速 MOS 工艺制造的专用编解码电路, 它内部包含一个 Bit 的一次性可编程只读电存储器 (OTP-ROM) S58P 提供给用户一个方便的开发和检验它们的程序的环境 用户可以根据自己需要来确定不同的编码, 有两种编码可选, 最低有 种 ( 百万次 ), 最高有 4 种 ( 万亿次 ), 可以有效地降低编码重复率. 特征 低静态电流,

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器..

PT8M2101A 规格书 目录 1. 产品概述 主要特性 系统框图 管脚配置 中央处理器 指令集 程序存储器 ROM 数据存储器 RAM CPU 核相关寄存器.. 目录 1. 产品概述... 2 2. 主要特性... 2 3. 系统框图... 3 4. 管脚配置... 4 5. 中央处理器... 5 5.1 指令集... 5 5.2 程序存储器 ROM... 6 5.3 数据存储器 RAM... 7 5.4 CPU 核相关寄存器... 8 5.5 SFR... 10 5.6 配置选项... 11 6. 功能模块... 12 6.1 时钟描述... 12 6.2

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

EPROM/ROM-Based 8-Bit Microcontroller Series

EPROM/ROM-Based 8-Bit Microcontroller Series 这份文档里包含下列型号 : EPROM device(opt) EPROM/ROM-Based 8-Bit Microcontroller Series 功能特性 只有 42 个单字指令 除分支指令为两个周期指令以外其余为单周期指令 PC 寻址范围为 13-bit GOTO 指令能跳转到所有的 ROM/EPROM 地址空间 子程序能返回到所有的 ROM/EPROM 地址空间 能处理 8 位数据 5

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

规格书

规格书 MC30P6070 用户手册 SinoMCU 8 位单片机 2016/5/31 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 订购信息... 5 1.3 系统框图...

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

BJ2C004ANB

BJ2C004ANB BJ2C004A 规 格 书 (v1.0) 1 目录 1. 概叙... 4 2. 功能特性... 4 3. 功能框图...5 4. 管脚图... 5 4.1 管脚功能描述...6 5 存储器结构... 7 5.1 程序存储器...7 5.2 数据存储器... 7 6 功能介绍... 9 6.1 寄存器操作... 9 6.1.1 INDF ( 间接寻址寄存器 )... 9 6.1.2 TMR0 ( 定时

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC151 SZFC 2017/6/19 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉 * 硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 V30 2016 年 6 月 2017 年 7 月 2017

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

QG153中文版说明书.docx

QG153中文版说明书.docx EPROM/ROM-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 注意事项...4 2.0 功能特性...5 3.0 概述...6 4.0 结构图...6 5.0 管脚图...7 5.1 管脚功能描述......7 6.0 存储器结构...8 6.1 程序存储器...8 6.2 数据存储器...9 7.0 功能介绍...11 7.1 寄存器操作...11

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及 SinoMCU 8 位单片机 MC30P6070 用户手册 V1.4 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 产品概要... 4 1.1 产品特性... 4 1.2 芯片模式... 5 1.3 订购信息... 5 1.4

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

标题

标题 本章学习目标 PIC16F87X 单片机与其他单片机相比具有一些独特的优点, 采用这些芯片构成的应用开发系统, 不仅可以提供最高的系统可靠性, 而且还能最大限度地减少外围器件, 以降低系统成本和功耗 掌握 PIC16F87X 单片机多功能的配置方式, 对于从事单片机应用开发工程人员来说, 是非常必要的, 同时也为其提供了广阔的选择空间 PIC16F87X 单片机的配置方式包括以下内容 : (1) 振荡器选择

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

EMC单片机学习笔记.doc

EMC单片机学习笔记.doc EMC 单片机学习笔记 (0) 引子因为工作的缘故, 必须学习 EMC 单片机了 我会把我的学习历程写下来, 算是对坛子的一点贡献, 也算是自己的一个总结吧 因为以前学过 51 的和 PIC HOLTEK 的单片机, 并且也大致了解过 EMC 的指令集, 所以学起来并不是太难 为了学习, 而又没有仿真器, 于是去 emc 的网站下载了一个 simulator 来软件仿真 第一感觉还不错, 把里面的例子程序跑了一下,

More information

一、

一、 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序.

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Cost_Effective C Handbook

Cost_Effective C Handbook HT8 MCU 看门狗 (WDT) 应用范例 防止死机 文件编码 :AN0426S 简介 Holtek Flash MCU 提供了一个功能单元 WDT (Watch Dog Timer) 看门狗定时器, 其最主要的功能是避免程序因不可预期的因素 ( 如 : 电路噪声 ) 造成系统长时间的瘫痪 ( 如 : 跳至死循环或未知地址造成无法预测的结果 ) 功能说明 Holtek 新推出的 MCU 已无 2

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计

查询 MDT10P72(BB) 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 查询 供应商 1. 概述这个 8 位基于 EPROM 微控制器是由完全静态 CMOS 技术设计, 集高速 体积小 低功耗和抗高噪声一体的芯片 内存包括 2K 字节 EPROM 和 128 字节静态 RAM 2. 特点如下是关于软硬件的一些特点 : 完全 CMOS 静态设计 8 位数据总线 EPROM 大小 :2K 内部 RAM 大小 :160 字节 (128 通用目的寄存器,32 特殊寄存器 ) 37

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH

SH69P848A 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 个数据存储器 工作电压 : - f OSC = 30kH 2K 一次性编程 10 位 ADC 型 4 位单片机 特性 基于 SH6610D 的 10 位 ADC 型 4 位单片机 OTPROM:2K X 16 位 RAM:253 X 4 位 - 61 个系统控制寄存器 - 192 个数据存储器 工作电压 : - f OSC = 30kHz - 4MHz,V DD = 2.4V - 5.5V - f OSC = 4MHz - 10MHz,V DD = 4.5V

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司 中 国 A 股 市 场 公 司 研 究 汽 车 及 零 部 件 Autos&Auto Parts 比 亚 迪 (2594) 新 能 源 技 术 变 革 及 应 用 的 领 导 者 211 年 6 月 24 日 Type your comment here 市 场 数 据 211 年 6 月 24 日 当 前 价 格 ( 元 ) - 52 周 价 格 区 间 ( 元 ) - 总 市 值 ( 百 万 )

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

QG701中文版说明书.docx

QG701中文版说明书.docx OTP-Based 8-Bit Microcontroller Series 第 1 页 目录 目录...2 1.0 功能特色...5 2.0 功能特性...6 3.0 概述...7 4.0 结构图...7 5.0 管脚图...8 5.1 管脚功能描述......8 6.0 存储器结构...9 6.1 程序存储器...9 6.2 数据存储器...10 7.0 功能介绍...12 7.1 寄存器操作...12

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... HT48R002/HT48R003 版本 : V1.11 日期 : 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构... 11 时序和流水线结构... 11 程序计数器... 12 堆栈... 12 算术逻辑单元

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

BUSNET

BUSNET Ver.3 13 45 67 7 89 BUSNET 111 12 12 13 14 14 1516 16 1718 PA-6812E 12m, 9m 2 PA-682E 2m : N.O.N.C : 2 : AC DC24V.25A 3.3Ω 1.528V DC 25mA 15ºC + 55ºC : : ø4 3 : ø3 6 12mm 47mm 11g PA-685E : N.O.N.C : 2

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information