41239D.book

Size: px
Start display at page:

Download "41239D.book"

Transcription

1 数据手册 6 引脚 8 位闪存单片机 2007 Microchip Technology Inc. DS41239D_CN

2 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 请勿忽视文档中包含的英文部分, 因为其中提供了有关 Microchip 产品性能和使用情况的有用信息 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 Accuron dspic KEELOQ KEELOQ 徽标 microid MPLAB PIC PICmicro PICSTART PRO MATE rfpic 和 SmartShunt 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 AmpLab FilterLab Linear Active Thermistor Migratable Memory MXDEV MXLAB SEEVAL SmartSensor 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro CodeGuard dspicdem dspicdem.net dspicworks dsspeak ECAN ECONOMONITOR FanSense FlexROM fuzzylab In-Circuit Serial Programming ICSP ICEPIC Mindi MiWi MPASM MPLAB Certified 徽标 MPLIB MPLINK PICkit PICDEM PICDEM.net PICLAB PICtail PowerCal PowerInfo PowerMate PowerTool REAL ICE rflab Select Mode Smart Serial SmartTel Total Endurance UNI/O WiperLock 和 ZENA 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2007, Microchip Technology Inc. 版权所有 Microchip 位于美国亚利桑那州 Chandler 和 Tempe 与位于俄勒冈州 Gresham 的全球总部 设计和晶圆生产厂及位于美国加利福尼亚州和印度的设计中心均通过了 ISO/TS-16949:2002 认证 公司在 PIC MCU 与 dspic DSC KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS :2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 DS41239D_CN 第 ii 页 2007 Microchip Technology Inc.

3 6 引脚 8 位闪存单片机 本数据手册针对下列器件 : PIC10F200 PIC10F202 高性能 RISC CPU: 仅有 33 条单字指令 PIC10F204 PIC10F206 除了程序跳转指令为双周期指令外, 其余均为单周期指令 12 位宽指令 2 级硬件堆栈 针对数据和指令的直接 间接和相对寻址模式 8 位宽数据总线 8 个特殊功能硬件寄存器 工作速度 : - 4 MHz 内部时钟 - 1 µs 指令周期单片机特性 : 4 MHz 高精度内部振荡器 : - 出厂时精度已校准为 ±1% 在线串行编程 (In-Circuit Serial Programming TM, ICSP TM 支持在线调试 (In-Circuit Debugging, ICD) 上电复位 (Power-on Reset, POR) 器件复位定时器 (Device Reset Timer, DRT) 看门狗定时器 (Watchdog Timer,WDT), 带专用的片上 RC 振荡器, 以便可靠地工作 可编程代码保护 复用的 MCLR 输入引脚 I/O 引脚可内部弱上拉 节能休眠模式 引脚电平变化时从休眠中唤醒 低功耗特性 /CMOS 技术 : 工作电流 : - < 175 2V, 4MHz, 典型值 待机电流 : V, 典型值 低功耗的高速闪存技术 : - 闪存耐擦写能力达 100,000 次 - 数据保存时间 > 40 年 完全静态设计 宽工作电压范围 :2.0V 至 5.5V 宽温度范围 : - 工业级 :-40 C 至 +85 C - 扩展级 :-40 C 至 +125 C 外设特性 (PIC10F200/202): 4 个 I/O 引脚 : - 可单独进行方向控制的 3 个 I/O 引脚 - 1 个只用作输入的引脚 - 高灌 / 拉电流能力, 可直接驱动 LED - 电平变化唤醒 - 弱上拉 8 位实时时钟 / 计数器 (TMR0), 带 8 位可编程预分频器外设特性 (PIC10F204/206): 4 个 I/O 引脚 : - 可单独进行方向控制的 3 个 I/O 引脚 - 1 个只用作输入的引脚 - 高灌 / 拉电流能力, 可直接驱动 LED - 电平变化唤醒 - 弱上拉 8 位实时时钟 / 计数器 (TMR0), 带 8 位可编程预分频器 1 个比较器 : - 内部绝对参考电压 - 比较器的两个输入外部可见 - 比较器输出外部可见 表 1-1: PIC10F20X 存储器和特性 器件 程序存储器数据存储器 8 位 I/O 闪存 ( 字 ) SRAM ( 字节 ) 定时器 比较器 PIC10F PIC10F PIC10F PIC10F Microchip Technology Inc. DS41239D_CN 第 1 页

4 SOT-23 引脚图 GP0/ICSPDAT VSS GP1/ICSPCLK PIC10F200/ GP3/MCLR/VPP VDD GP2/T0CKI/FOSC4 GP0/ICSPDAT/CIN+ VSS GP1/ICSPCLK/CIN PIC10F204/ GP3/MCLR/VPP VDD GP2/T0CKI/COUT/FOSC4 8 引脚 PDIP 引脚图 N/C VDD GP2/T0CKI/FOSC4 GP1/ICSPCLK PIC10F200/ GP3/MCLR/VPP VSS N/C GP0/ICSPDAT N/C VDD GP2/T0CKI/COUT/FOSC4 GP1/ICSPCLK/CIN PIC10F204/ GP3/MCLR/VPP VSS N/C GP0/ICSPDAT/CIN+ 8 引脚 DFN 引脚图 N/C VDD GP2/T0CKI/FOSC4 GP1/ICSPCLK PIC10F200/ GP3/MCLR/VPP VSS N/C GP0/ICSPDAT N/C VDD GP2/T0CKI/COUT/FOSC4 GP1/ICSPCLK/CIN PIC10F204/ GP3/MCLR/VPP VSS N/C GP0/ICSPDAT/CIN+ DS41239D_CN 第 2 页 2007 Microchip Technology Inc.

5 目录 1.0 器件概述 PIC10F200/202/204/206 器件种类 架构概述 存储器构成 I/O 端口 Timer0 模块和 TMR0 寄存器 (PIC10F200/202) Timer0 模块和 TMR0 寄存器 (PIC10F204/206) 比较器模块 CPU 的特性 指令集汇总 开发支持 电气特性 DC 及 AC 特性图表 封装信息 索引 Microchip 网站 变更通知客户服务 客户支持 读者反馈表 产品标识体系 致客户 我们旨在提供最佳文档供客户正确使用 Microchip 产品 为此, 我们将不断改进出版物的内容和质量, 使之更好地满足您的要求 出版物的质量将随新文档及更新版本的推出而得到提升 如果您对本出版物有任何问题和建议, 请通过电子邮件联系我公司 TRC 经理, 电子邮件地址为 CTRC@microchip.com, 或将本数据手册后附的 读者反馈表 传真到 我们期待您的反馈 最新数据手册欲获得本数据手册的最新版本, 请查询我公司的网站 : 查看数据手册中任意一页下边角处的文献编号即可确定其版本 文献编号中数字串后的字母是版本号, 例如 :DS30000A 是 DS30000 的 A 版本 勘误表现有器件可能带有一份勘误表, 描述了实际运行与数据手册中记载内容之间存在的细微差异以及建议的变通方法 一旦我们了解到器件 / 文档存在某些差异时, 就会发布勘误表 勘误表上将注明其所适用的硅片版本和文件版本 欲了解某一器件是否存在勘误表, 请通过以下方式之一查询 : Microchip 网站 当地 Microchip 销售办事处 ( 见最后一页 ) 在联络销售办事处时, 请说明您所使用的器件型号 硅片版本和数据手册版本 ( 包括文献编号 ) 客户通知系统欲及时获知 Microchip 产品的最新信息, 请到我公司网站 上注册 2007 Microchip Technology Inc. DS41239D_CN 第 3 页

6 注 : DS41239D_CN 第 4 页 2007 Microchip Technology Inc.

7 1.0 器件概述 来自 Microchip Technology 的 PIC10F200/202/204/206 器件, 是低成本 高性能 8 位 全静态和基于闪存的 CMOS 单片机 它们采用的是 RISC 架构, 只有 33 条单字 / 单周期指令 除了程序跳转是双周期指令外, 其余指令都是单周期的 (1 µs) PIC10F200/202/204/206 器件的性能, 要比同等价格的竞争产品高出一个数量级 由于 12 位宽指令是高度对称的, 其代码压缩效率比其他同类型 8 位单片机高出一倍 易于使用和记忆的指令集显著地缩短了开发时间 PIC10F200/202/204/206 产品具有降低系统成本和功耗的特殊功能 由于具有上电复位电路 (POR) 和器件复位定时器 (DRT), 因此不再需要外部复位电路 器件特有的 INTRC 内部振荡器模式, 节省了数量有限的可用 I/O 资源 省电休眠模式 看门狗定时器和代码保护功能降低了系统成本和功耗, 增强了可靠性 PIC10F200/202/204/206 器件配有低成本的闪存, 适用于任何规模的生产 客户能够充分受益于 Microchip 在闪存可编程单片机领域的价格领先优势, 同时还能得益于闪存在编程方面的灵活性 PIC10F200/202/204/206 产品的支持软件包括 : 全功能的宏汇编器 软件模拟器 在线调试器 C 编译器 低成本的开发编程器, 以及全功能的编程器 所有支持工具都能运行在 IBM PC 及其兼容机上 1.1 应用 PIC10F200/202/204/206 器件的应用范围很广, 从个人护理器具 安全系统到低功耗的远程发送器 / 接收器 闪存技术使得应用程序 ( 发送器代码 系统设置 接收器频率, 等等 ) 的定制极为快速而方便 直插式或表面贴装式的封装体积很小, 非常适用于空间受限的应用 低成本 低功耗 高性能 易于使用以及灵活的 I/O, 使得 PIC10F200/202/204/206 器件的用途非常广泛, 甚至能够应用在一些以前从来没有考虑过使用单片机的领域 ( 例如, 大型系统和协处理器应用中的定时器功能 逻辑和 PLD 电路 ) 表 1-1: PIC10F200/202/204/206 器件 PIC10F200 PIC10F202 PIC10F204 PIC10F206 时钟 最大工作频率 (MHz) 存储器 闪存程序存储器 数据存储器 ( 字节 ) 外设 定时器模块 TMR0 TMR0 TMR0 TMR0 引脚电平变化时从休眠中唤醒 有 有 有 有 比较器 其他 I/O 引脚 只用作输入的引脚 内部上拉 有 有 有 有 在线串行编程 (In-Circuit Serial 有 有 有 有 Programming ) 指令条数 封装 6 引脚 SOT-23 6 引脚 SOT-23 6 引脚 SOT-23 6 引脚 SOT-23 8 引脚 PDIP 和 DFN 8 引脚 PDIP 和 DFN 8 引脚 PDIP 和 DFN 8 引脚 PDIP 和 DFN PIC10F200/202/204/206 器件具有上电复位 可选择的看门狗定时器 可选择的代码保护 高 I/O 电流能力以及精确的内部振荡器 PIC10F200/202/204/206 器件采用串行编程, 数据引脚是 GP0, 时钟引脚是 GP Microchip Technology Inc. DS41239D_CN 第 5 页

8 注 : DS41239D_CN 第 6 页 2007 Microchip Technology Inc.

9 2.0 PIC10F200/202/204/206 器件种类 器件提供了各种封装形式供选择 根据应用和产品的具体要求, 可使用本节提供的信息来选择合适的器件 在下订单时, 请依据本数据手册后面的 PIC10F200/202/ 204/206 产品标识体系, 使用正确的器件编号 2.1 快速批量编程 (QTP) 器件 Microchip 为工厂生产订单提供快速批量编程 (Quick Turn Programming,QTP) 服务 此服务适用于那些不想为中到大批量器件进行编程并且自有代码已稳定的用户 这种器件与闪存器件相同, 只是所有的闪存单元和熔丝选项已在出厂前预先编程了 批量产品交付前经过了某些代码和原型校验 欲知更多详情, 请与当地的 Microchip 销售办事处联系 2.2 带序列号的快速批量编程 (SQTP SM ) 器件 Microchip 提供这种独特的编程服务, 可通过编程为每个器件中一些用户定义的单元指定不同的序列号 这些序列号可以是随机的 伪随机或连续的 序列化处理使得每个器件都有一个唯一的编号, 可以用作登录码 密码或 ID 编号 2007 Microchip Technology Inc. DS41239D_CN 第 7 页

10 注 : DS41239D_CN 第 8 页 2007 Microchip Technology Inc.

11 3.0 架构概述 PIC10F200/202/204/206 器件的高性能可归因于 RISC 微处理器架构的一系列特性 首先, PIC10F200/202/ 204/206 器件采用哈佛架构, 访问程序和数据分别使用不同的总线 与传统的使用同一总线来读取程序和数据的冯诺依曼架构相比较, 带宽得到了提高 程序和数据的分离, 使得指令字长可以与 8 位宽的数据字长不同 采用 12 位宽的指令操作码, 使指令全部成为单周期指令 12 位宽程序存储器访问总线在一个周期中读取一条 12 位指令 两级流水线并行地读取指令和执行指令, 从而, 除了程序跳转外的所有指令 (33 条指令 ) 都将在一个周期内 (1 4 MHz) 得到执行 下面的表格列出了 PIC10F200/202/204/206 器件的程序存储器 (Flash) 和数据存储器 (RAM) 表 3-1: PIC10F2XX 存储器 存储器 器件 程序 数据 PIC10F x x 8 PIC10F x x 8 PIC10F x x 8 PIC10F x x 8 PIC10F200/202/204/206 器件内有一个 8 位 ALU 和一个工作寄存器 ALU 是通用的算术单元, 它对工作寄存器和任一寄存器文件中的数据执行算术和逻辑运算 ALU 为 8 位宽, 能够进行加 减 移位和逻辑运算 除非另外声明, 否则, 算术运算实际上是以二进制补码 (two s complement) 的方式进行的 在双操作数指令中, 一个操作数通常是 W( 工作 ) 寄存器, 而另一个操作数是文件寄存器或立即数 在单操作数指令中, 操作数是 W 寄存器或文件寄存器 W 寄存器是 8 位的工作寄存器, 用于 ALU 的操作 不可对其寻址 根据所执行的指令, ALU 可能影响 STATUS 寄存器中进位 (C) 半进位 (DC) 和零 (Z) 标志位的值 在减法中,C 和 DC 位分别用作借位 (borrow) 和半借位 (digit borrow) 相关示例请参见 SUBWF 和 ADDWF 指令 图 3-1 和图 3-2 所示为简化的框图, 相应的器件引脚说明请参见表 3-2 PIC10F200/202/204/206 器件能够直接或间接寻址其文件寄存器和数据存储器 包括 PC 在内的全部特殊功能寄存器 (Special Function Register, SFR), 都被映射到数据存储器中 PIC10F200/202/204/206 器件的指令集具有高度正交性 ( 对称的 ), 使用户能够对任意寄存器 使用任意的寻址方式执行任何操作 该对称特性和普遍适用性, 使得 PIC10F200/202/204/206 器件的编程简单而高效 此外, 也显著降低了学习难度 2007 Microchip Technology Inc. DS41239D_CN 第 9 页

12 图 3-1: PIC10F200/202 框图 闪存 512 x12 或 256 x12 程序存储器 9-10 程序计数器堆栈 1 堆栈 2 数据总线 RAM 24 或 16 字节文件寄存器 8 GPIO GP0/ICSPDAT GP1/ICSPCLK GP2/T0CKI/FOSC4 GP3/MCLR/VPP 程序总线 12 RAM 地址 9 指令寄存器 地址多路开关 直接地址 间接地址 FSR 寄存器 8 STATUS 寄存器 指令译码和控制 时序发生 器件复位定时器 上电复位 看门狗定时器 内部 RC 时钟 8 3 ALU W 寄存器 多路开关 MCLR VDD 和 VSS Timer0 DS41239D_CN 第 10 页 2007 Microchip Technology Inc.

13 图 3-2: PIC10F204/206 框图 程序总线 闪存 512 x12 或 256 x12 程序存储器 程序计数器堆栈 1 堆栈 2 数据总线 RAM 24 或 16 字节文件寄存器 RAM 地址 9 8 GPIO GP0/ICSPDAT/CIN+ GP1/ICSPCLK/CIN- GP2/T0CKI/COUT/FOSC4 GP3/MCLR/VPP 指令寄存器 地址多路开关 直接地址 间接地址 FSR 寄存器 8 STATUS 寄存器 指令译码和控制 时序发生 器件复位定时器 上电复位 看门狗定时器内部 RC 时钟 8 3 ALU W 寄存器 多路开关 MCLR Timer0 比较器 CIN+ CIN- VDD 和 VSS COUT 2007 Microchip Technology Inc. DS41239D_CN 第 11 页

14 表 3-2: 引脚名称 PIC10F200/202/204/206 引脚说明 功能 输入类型 输出类型 GP0/ICSPDAT/CIN+ GP0 TTL CMOS 双向 I/O 引脚 可以通过软件设置, 使之具有内部弱上拉或引脚电平变化时从休眠中唤醒功能 ICSPDAT ST CMOS 在线串行编程 (In-Circuit Serial Programming ) 数据引脚 CIN+ AN 比较器输入 ( 仅限 PIC10F204/206) GP1/ICSPCLK/CIN- GP1 TTL CMOS 双向 I/O 引脚 可以通过软件设置, 使之具有内部弱上拉或引脚电平变化时从休眠中唤醒功能 ICSPCLK ST CMOS 在线串行编程时钟引脚 CIN- AN 比较器输入 ( 仅限 PIC10F204/206) GP2/T0CKI/COUT/ GP2 TTL CMOS 双向 I/O 引脚 FOSC4 T0CKI ST TMR0 的时钟输入 COUT CMOS 比较器输出 ( 仅限 PIC10F204/206) FOSC4 CMOS 振荡器 /4 输出 GP3/MCLR/VPP GP3 TTL 输入引脚 可以通过软件设置, 使之具有内部弱上拉或引脚电平变化时从休眠中唤醒功能 MCLR ST 主清零 当配置为 MCLR 时, 此引脚是低电平有效的复位信号 器件正常工作期间, GP3/MCLR/VPP 上的电压不得超过 VDD, 否则器件将进入编程模式 如果配置为 MCLR, 则始终为弱上拉 VPP HV 编程电压输入 VDD VDD P 逻辑电路和 I/O 引脚的正电源 VSS VSS P 逻辑电路和 I/O 引脚的参考地 图注 : I = 输入, O = 输出, I/O = 输入 / 输出, P = 电源, = 未使用, TTL = TTL 输入, ST = 施密特触发器输入, AN = 模拟输入 说明 DS41239D_CN 第 12 页 2007 Microchip Technology Inc.

15 3.1 时钟机制 / 指令周期 时钟在内部被一分为四, 生成四个不重叠的正交时钟信号, 即 Q1 Q2 Q3 和 Q4 在每个 Q1, PC 递增, 在 Q4, 指令从程序存储器中被取出并锁存到指令寄存器中 在接下来的 Q1 到 Q4, 指令被译码和执行 图 3-3 和例 3-1 所示为时钟和指令的执行流程 3.2 指令流 / 流水线 一个指令周期由四个 Q 节拍 (Q1 Q2 Q3 和 Q4) 组成 取指令和执行指令以流水线方式进行, 取指占用一个指令周期, 而译码和执行指令占用另一个指令周期 由于采用流水线方式, 每条指令实际上相当于在一个周期内得到执行 如果指令导致 PC 改变 ( 例如, GOTO 指令 ), 那么完成指令需要两个周期 ( 例 3-1) PC 在 Q1 递增, 意味着取指周期开始 在指令执行周期, 在 Q1 将取出的指令锁存到指令寄存器 (Instruction Register, IR) 中 然后, 在 Q2 Q3 和 Q4, 指令被译码和执行 在 Q2 读取数据存储器 ( 读操作数 ), 在 Q4 写数据存储器 ( 写目标地址 ) 图 3-3: 时钟 / 指令周期 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 OSC1 Q1 Q2 Q3 Q4 PC PC PC + 1 PC + 2 内部相位时钟 取指 (PC) 执行指令 (PC 1) 取指 (PC + 1) 执行指令 (PC) 取指 (PC + 2) 执行指令 (PC + 1) 例 3-1: 指令流水线 1. MOVLW 03H 取指 1 执行 1 2. MOVWF GPIO 取指 2 执行 2 3. CALL SUB_1 取指 3 执行 3 4. BSF GPIO, BIT1 取指 4 清除取指 SUB_1 执行 SUB_1 除程序转移指令外, 所有指令都是单周期指令 由于程序转移指令将导致一条已取指令从流水线清除, 需要重新取指, 然后执行指令, 所以程序转移指令需要两个周期 2007 Microchip Technology Inc. DS41239D_CN 第 13 页

16 注 : DS41239D_CN 第 14 页 2007 Microchip Technology Inc.

17 4.0 存储器构成 PIC10F200/202/204/206 的存储器分为程序存储器和数据存储器 使用文件选择寄存器 (File Select Register, FSR) 访问数据存储区 图 4-1: CALL, RETLW PIC10F200/204 的程序存储器映射和堆栈 PC<7:0> PIC10F200/204 的程序存储器构成 PIC10F200/204 器件具有一个 9 位程序计数器 (Program Counter, PC), 能够寻址 512 x 12 的程序存储空间 对于 PIC10F200/204 而言, 只有前 256 x 12 (0000h- 00FFh) 地址单元是物理实现的 ( 见图 4-1) 超出上述边界的存储单元地址, 将被重复映射到该 256 x 12 空间内的某个单元 (PIC10F200/204) 复位向量在 0000h ( 见图 4-1) 存储单元 00FFh (PIC10F200/ 204) 内存有内部时钟振荡器校准值 切记不可覆盖该值 用户存储空间 1 级堆栈 2 级堆栈 复位向量 (1) 片上程序存储器 0000h 256 字 00FFh 0100h 01FFh 注 1: 地址 0000h 是复位向量 存储单元 00FFh 内存放 MOVLW XX 内部振荡器校准值 2007 Microchip Technology Inc. DS41239D_CN 第 15 页

18 4.2 PIC10F202/206 的程序存储器构成 PIC10F202/206 器件具有一个 10 位的程序计数器 (PC), 能够寻址 1024 x 12 的程序存储空间 对于 PIC10F202/206 系列器件, 只有前 512 x 12 地址单元 (0000h-01FFh) 是物理实现的 ( 见图 4-2) 超出上述边界的存储单元地址, 将被重复映射到该 512 x 12 空间内的某一单元 (PIC10F202/206) 复位向量在 0000h( 见图 4-2) 存储单元 01FFh(PIC10F202/ 206) 内存放内部时钟振荡器校准值 切记不可覆盖该值 图 4-2: CALL, RETLW PIC10F202/206 程序存储器映射和堆栈 PC<8:0> 数据存储器构成 数据存储器由寄存器或 RAM 单元组成 器件的数据存储器由其寄存器文件指定 寄存器文件按功能被划分为两组 : 特殊功能寄存器 (SFR) 和通用寄存器 (General Purpose Register, GPR) 特殊功能寄存器包括 TMR0 寄存器 程序计数器 (PCL) STATUS 寄存器 I/O 寄存器 (GPIO) 以及文件选择寄存器 (FSR) 此外, 特殊功能寄存器用于控制 I/O 端口配置和预分频器选择 通用寄存器用于存放指令的数据和控制信息 对于 PIC10F200/204 器件而言, 寄存器文件由 7 个特殊功能寄存器和 16 个通用寄存器组成 ( 见图 4-3 和图 4-4) 对于 PIC10F202/206 器件而言, 寄存器文件由 8 个特殊功能寄存器和 24 个通用寄存器组成 ( 见图 4-4) 1 级堆栈 2 级堆栈 (1) 复位向量 0000h 通用寄存器文件可通过文件选择寄存器 (FSR) 对通用寄存器进行直接或间接的访问 请参见第 4.9 节 间接数据寻址 :INDF 和 FSR 寄存器 片上程序存储器 用户存储空间 512 字 01FFh 0200h 02FFh 注 1: 地址 0000h 是复位向量 存储单元 01FFh 内存放 MOVLW XX 内部振荡器校准值 DS41239D_CN 第 16 页 2007 Microchip Technology Inc.

19 图 4-3: PIC10F200/204 寄存器文件映射 图 4-4: PIC10F202/206 寄存器文件映射 文件地址 文件地址 00h INDF (1) 00h INDF (1) 01h TMR0 01h TMR0 02h PCL 02h PCL 03h STATUS 03h STATUS 04h FSR 04h FSR 05h OSCCAL 05h OSCCAL 06h 07h GPIO CMCON0 (2) 06h 07h GPIO CMCON0 (2) 08h 0Fh 10h 未实现 (3) 08h 通用寄存器 通用寄存器 1Fh 1Fh 注 1: 非物理寄存器 请参见第 4.9 节 间接数据寻址 :INDF 和 FSR 寄存器 2: 仅限 PIC10F204 在 PIC10F200 上未实现, 读取值为 00h 3: 未实现, 读取值为 00h 注 1: 非物理寄存器 请参见第 4.9 节 间接数据寻址 :INDF 和 FSR 寄存器 2: 仅限 PIC10F206 在 PIC10F202 上未实现, 读取值为 00h 2007 Microchip Technology Inc. DS41239D_CN 第 17 页

20 4.3.2 特殊功能寄存器 特殊功能寄存器 (SFR) 是 CPU 和外设用来控制器件操作的寄存器 ( 表 4-1) 特殊功能寄存器可以被划分为两类 本节将介绍与内核功能相关的特殊功能寄存器 与外设操作相关的特殊功能寄存器, 将在相应的外设章节中进行介绍 表 4-1: 特殊功能寄存器 (SFR) 汇总 (PIC10F200/202/204/206) 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 (2) 00h INDF 使用 FSR 来寻址数据存储器 ( 非物理寄存器 ) xxxx xxxx 23 01h TMR0 8 位实时时钟 / 计数器 xxxx xxxx 29, 33 02h (1) PCL PC 的低 8 位 h STATUS GPWUF CWUF (5) TO PD Z DC C xxx (3) 19 04h FSR 间接数据存储器地址指针 111x xxxx 23 05h OSCCAL CAL6 CAL5 CAL4 CAL3 CAL2 CAL1 CAL0 FOSC h GPIO GP3 GP2 GP1 GP xxxx 25 07h (4) CMCON0 CMPOUT COUTEN POL CMPT0CS CMPON CNREF CPREF CWU N/A TRISGPIO I/O 控制寄存器 N/A OPTION GPWU GPPU T0CS T0SE PSA PS2 PS1 PS 图注 : = 未实现 ( 读为 0), x = 未知, = 不变, q = 取值依情况而定 注 1: 程序计数器的高字节不能直接访问 如何访问这些位, 请参见第 4.7 节 程序计数器 2: 其他复位 ( 非上电复位 ) 包括经由 MCLR 的外部复位 看门狗定时器复位以及引脚电平变化唤醒复位 3: 其他复位的具体数值, 请参见表 9-1 4: 仅限 PIC10F204/206 5: 仅限 PIC10F204/206 在其他器件上, 该位为保留位, 不能被使用 页码 DS41239D_CN 第 18 页 2007 Microchip Technology Inc.

21 4.4 STATUS 寄存器 STATUS 寄存器内包含有 ALU 的运算状态位 复位状态位以及页预选择位 STATUS 寄存器可以是任何指令的目标寄存器, 正如其他寄存器一样 如果一条指令以 STATUS 寄存器为目标寄存器, 而该指令的执行将影响到 Z DC 或 C 位, 那么对这三个位的写入将被禁止 这些位是根据器件逻辑进行置 1 或清零的 此外,TO 和 PD 位是不可写入的 因此, 以 STATUS 寄存器为目标寄存器的指令的执行结果, 可能会与预期的不同 例如,CLRF STATUS, 将把 STATUS 寄存器的高 3 位清零, 并将 Z 位置 1 指令执行后, STATUS 寄存器将是 000u u1uu ( 其中, u = 不变 ) 所以, 建议仅使用 BCF BSF 和 MOVWF 指令来改变 STATUS 寄存器 这些指令不会影响 STATUS 寄存器的 Z DC 或 C 位 其他不影响状态位的指令, 请参见第 10.0 节 指令集汇总 寄存器 4-1: STATUS 寄存器 R/W-0 R/W-0 R/W-0 R-1 R-1 R/W-x R/W-x R/W-x GPWUF CWUF (1) TO PD Z DC C bit 7 bit 0 图注 : R = 可读位 W = 可写位 U = 未实现位, 读为 0 -n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 bit 7 GPWUF:GPIO 复位位 1 = 引脚电平变化时从休眠中唤醒导致的复位 0 = 上电或其他复位之后 bit 6 CWUF: 比较器电平变化唤醒标志位 (1) 1 = 比较器输出电平变化时从休眠中唤醒导致的复位 0 = 上电或其他复位之后 bit 5 保留 : 不使用 使用该位可能会影响到与未来产品的向上兼容性 bit 4 TO: 超时位 1 = 在上电 CLRWDT 指令或 SLEEP 指令之后 0 = 发生 WDT 超时 bit 3 PD: 掉电位 1 = 上电后, 或者执行了 CLRWDT 指令 0 = 执行了 SLEEP 指令 bit 2 Z: 零标志位 1 = 算术或逻辑运算的结果为零 0 = 算术或逻辑运算的结果不为零 bit 1 DC: 半进位 / 借位位 ( 用于 ADDWF 和 SUBWF 指令 ) ADDWF: 1 = 运算结果的第 4 低有效位发生进位 0 = 运算结果的第 4 低有效位未发生进位 SUBWF: 1 = 运算结果的第 4 低有效位未发生借位 0 = 运算结果的第 4 低有效位发生借位 bit 0 C: 进位 / 借位位 ( 用于 ADDWF 和 SUBWF 以及 RRF 和 RLF 指令 ) ADDWF: SUBWF: RRF 或 RLF: 1 = 发生进位 1 = 未发生借位 分别装入 LSb 或 MSb 0 = 未发生进位 0 = 发生借位 注 1: 该位用于 PIC10F204/206 器件 出于代码兼容性的考虑, 在 PIC10F200/202 器件上不要使用该位 2007 Microchip Technology Inc. DS41239D_CN 第 19 页

22 4.5 OPTION 寄存器 OPTION 寄存器是 8 位宽的只写寄存器, 包含用来配置 Timer0/WDT 预分频器和 Timer0 的控制位 通过执行 OPTION 指令, W 寄存器的内容将被传送到 OPTION 寄存器 复位将把 OPTION<7:0> 置 1 注 : 如果 TRIS 位被清零, 对应引脚的电平变化唤醒和上拉功能将被禁止 ( 即, 注意这里 TRIS 取代了 OPTION 寄存器对 GPPU 和 GPWU 的控制 ) 注 : 如果 T0CS 位被置 1, 它将取代 T0CKI 引脚上的 TRIS 功能 寄存器 4-2: OPTION 寄存器 W-1 W-1 W-1 W-1 W-1 W-1 W-1 W-1 GPWU GPPU T0CS T0SE PSA PS2 PS1 PS0 bit 7 bit 0 图注 : R = 可读位 W = 可写位 U = 未实现位, 读为 0 -n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 bit 7 bit 6 bit 5 bit 4 bit 3 bit 2-0 GPWU: 引脚电平变化时唤醒使能位 (GP0 GP1 和 GP3) 1 = 禁止 0 = 使能 GPPU: 弱上拉使能位 (GP0 GP1 和 GP3) 1 = 禁止 0 = 使能 T0CS:Timer0 时钟源选择位 1 = T0CKI 引脚上的电平变化 ( 取代 T0CKI 引脚上的 TRIS) 0 = 内部指令周期时钟 FOSC/4 上的电平变化 T0SE:Timer0 时钟源边沿选择位 1 = T0CKI 引脚上电平从高到低变化时递增 0 = T0CKI 引脚上电平从低到高变化时递增 PSA: 预分频器分配位 1 = 预分频器分配给 WDT 0 = 预分频器分配给 Timer0 PS<2:0>: 预分频器比选择位 位值 Timer0 比值 WDT 比值 : 2 1 : 4 1 : 8 1 : 16 1 : 32 1 : 64 1 : : : 1 1 : 2 1 : 4 1 : 8 1 : 16 1 : 32 1 : 64 1 : 128 DS41239D_CN 第 20 页 2007 Microchip Technology Inc.

23 4.6 OSCCAL 寄存器 振荡器校准 (OSCCAL) 寄存器用来校准内部高精度 4MHz 振荡器 它有 7 个校准位 注 : 擦除器件也将擦除为内部振荡器预设的内部校准值 在擦除器件之前, 必须先读取校准值, 以便在后续编程时能够正确地设定校准值 在输入校准常数后, 不要再改动该值 请参见第 节 内部 4MHz 振荡器 寄存器 4-3: OSCCAL 寄存器 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-0 CAL6 CAL5 CAL4 CAL3 CAL2 CAL1 CAL0 FOSC4 bit 7 bit 0 图注 : R = 可读位 W = 可写位 U = 未实现位, 读为 0 -n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 bit 7-1 bit 0 CAL<6:0>: 振荡器校准位 = 最大频率 = 中心频率 = 最小频率 (1) FOSC4:INTOSC/4 输出使能位 1 = INTOSC/4 输出至 GP2 0 = GP2/T0CKI/COUT 输出至 GP2 注 1: 使能时, 将取代 GP2/T0CKI/COUT 控制寄存器 2007 Microchip Technology Inc. DS41239D_CN 第 21 页

24 4.7 程序计数器 在执行指令时, 程序计数器 (PC) 存放的是要执行的下一条指令的地址 PC 在每个指令周期加 1, 除非指令执行时改变了 PC 的值 对于 GOTO 指令而言, PC<8:0> 由 GOTO 指令字提供 程序计数器 (PCL) 被映射到 PC<7:0> 对于 CALL 指令或者其他以 PCL 为目标寄存器的指令而言, PC<7:0> 仍然由指令字提供 不过, PC<8> 不是来自指令字, 而是始终为零 ( 图 4-5) 以 PCL 为目标寄存器或是修改 PCL 的指令包括 MOVWF PC ADDWF PC 以及 BSF PC,5 注 : 图 4-5: PC 跳转指令的装入 GOTO 指令 因为在 CALL 指令或任何修改 PCL 的指令中, 要清零 PC<8>, 所以, 所有的子程序调用或相对跳转被限制在程序存储器页 (512 字长 ) 开始的 256 个地址单元内 PC PCL 指令字 复位的影响 复位时将设置 PC, 使 PC 指向程序存储器中的最后一个存储单元 ( 即振荡器校准指令 ) 在执行 MOVLW XX 之后, PC 的值将返回到 0000h, 开始执行用户代码 4.8 堆栈 PIC10F200/204 器件具有一个 2 级深度 8 位宽的硬件 PUSH/POP 堆栈 PIC10F202/206 器件具有一个 2 级深度 9 位宽的硬件 PUSH/POP 堆栈 一条 CALL 指令, 将把 1 级堆栈的当前值压入 2 级堆栈, 然后把当前 PC 加 1 后的值压入 1 级堆栈 如果连续执行超过两条 CALL 指令, 则只存储最后两个返回地址 RETLW 指令将把 1 级堆栈的内容弹出给 PC, 然后把 2 级堆栈的内容复制到 1 级堆栈 如果连续执行了超过两条 RETLW 指令, 堆栈的内容将全部是先前存储在 2 级堆栈中的地址 注意, W 寄存器将装入指令中指定的立即数 这对于在程序存储器中实现数据查找表非常有用 注 1: W 寄存器中将装入指令中指定的立即数 这对于在程序存储器中实现数据查找表非常有用 2: 没有指明堆栈上溢或堆栈下溢的状态位 3: 没有叫做 PUSH 或 POP 的指令助记符 它们是 CALL 和 RETLW 指令执行时产生的动作 CALL 或修改 PCL 的指令 PC PCL 复位为 0 指令字 DS41239D_CN 第 22 页 2007 Microchip Technology Inc.

25 4.9 间接数据寻址 :INDF 和 FSR 寄存器 INDF 寄存器不是物理寄存器 寻址 INDF 实际上将寻址地址在 FSR 寄存器中的寄存器 (FSR 是指针 ) 这是间接寻址 4.10 间接寻址 寄存器文件 09 的值为 10h 寄存器文件 0A 的值为 0Ah 把值 09 装入 FSR 寄存器 读取 INDF 寄存器, 将返回值 10h 使 FSR 寄存器的值递增 (FSR = 0A) 读取 INDR 寄存器, 将返回值 0Ah 间接读取 INDF 自身 (FSR = 0) 将返回 00h 间接写 INDF 寄存器将导致空操作 ( 但可能影响到状态位 ) 例 4-1 所示为一段简单的程序, 程序使用间接寻址清空 RAM 存储单元 10h-1Fh 例 4-1: 如何使用间接寻址清空 RAM MOVLW 0x10 ;initialize pointer MOVWF FSR ;to RAM NEXT CLRF INDF ;clear INDF ;register INCF FSR,F ;inc pointer BTFSC FSR,4 ;all done? GOTO NEXT ;NO, clear next CONTINUE : ;YES, continue : FSR 是 5 位宽的寄存器 它与 INDF 寄存器配合使用, 用来间接寻址数据存储区 FSR<4:0> 位用来选择数据存储器地址 00h 至 1Fh 注 : PIC10F200/202/204/206 不要使用存储区选择 FSR <7:5> 未实现, 读为 1 图 4-6: 直接 / 间接寻址 (PIC10F200/202/204/206) 直接寻址 间接寻址 4 ( 操作码 ) 0 4 (FSR) 0 存储单元选择 存储单元选择 00h 数据存储器 (1) 0Fh 10h 1Fh Bank 0 注 1: 关于寄存器映射的详细信息, 请参见第 4.3 节 数据存储器构成 2007 Microchip Technology Inc. DS41239D_CN 第 23 页

26 注 : DS41239D_CN 第 24 页 2007 Microchip Technology Inc.

27 5.0 I/O 端口 如同其他寄存器一样, 程序可对 I/O 寄存器进行读写操作 不过, 读指令 ( 例如, MOVF GPIO, W) 总是从 I/O 引脚读入信息, 与引脚的输入 / 输出模式无关 复位时, 由于 I/O 控制寄存器全部被置 1, 故所有 I/O 端口都被定义为输入 ( 输 处于高阻态 ) 5.1 GPIO GPIO 是 8 位 I/O 寄存器, 只使用了低 4 位 (GP<3:0>) bit 7 到 bit 4 未使用, 读为 0 请注意,GP3 只能用作输入引脚 引脚 GP0 GP1 和 GP3 可配置为带有弱上拉电路, 也可用来在电平变化时唤醒器件 电平变化唤醒和弱上拉功能是不能通过引脚单独选择的 如果 GP3/ MCLR 被配置为 MCLR, 则弱上拉电路始终有效, 而引脚的电平变化唤醒功能被禁止 5.2 TRIS 寄存器 通过执行 TRIS f 指令, 把 W 寄存器的内容装入输出驱动器控制寄存器中 TRIS 寄存器中的某位置 1, 将使相应的输出驱动器进入高阻模式 ; 而某位清零, 则将使输出数据锁存到所选取的引脚上, 并使能输出缓冲器 例外的情况是 GP3 和 GP2/T0CKI/COUT/FOSC4 引脚, 前者只用作输入, 而后者可以通过各种寄存器来进行控制 请参见表 5-1 注 : 读端口是读引脚状态, 而不是读输出数据锁存器 这就是说, 如果使能了引脚上的输出驱动器且将其驱动为高电平, 但外部系统将该引脚保持为低电平, 则读端口将表明该引脚为低电平 TRIS 寄存器是 只写 的, 复位时将被置 1( 输出驱动器被禁止 ) 表 5-1: 引脚功能的优先级优先级 GP0 GP1 GP2 GP3 5.3 I/O 接口 I/O 端口引脚的等价电路如图 5-1 所示 所有的端口引脚 ( 除了只用作输入的 GP3 以外 ) 都可以用于输入和输出操作 被用作输入时, 这些端口没有锁存功能 任何输入数据必须一直保持有效, 直到被输入指令读取为止 ( 例如,MOVF GPIO, W) 输出数据将被锁存并保持, 一直到输出锁存器被重写为止 要把某个端口引脚用作输出,TRIS 中对应的方向控制位必须清零 (= 0); 如果用作输入, 则对应的 TRIS 位必须置 1 任何 I/O 引脚 (GP3 除外 ) 均可被单独地设置成输入或输出 图 5-1: PIC10F200/202/204/206 单个 I/O 引脚的等价电路数据总线 D Q 写端口 W 寄存器 TRIS f 数据锁存器 CK Q D Q TRIS 锁存器 CK Q 复位 (1) 读端口 VDD P N VSS 注 1: 缓冲器类型, 请参见表 3-2 VDD VSS I/O 引脚 1 CIN+ CIN- FOSC4 I/MCLR 2 TRIS GPIO TRIS GPIO COUT 3 T0CKI 4 TRIS GPIO 2007 Microchip Technology Inc. DS41239D_CN 第 25 页

28 表 5-2: 端口寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 其他复位时的值 N/A TRISGPIO I/O 控制寄存器 N/A OPTION GPWU GPPU T0CS T0SE PSA PS2 PS1 PS h STATUS GPWUF CWUF TO PD Z DC C xxx (1), (2) qq-q quuu 06h GPIO GP3 GP2 GP1 GP xxxx ---- uuuu 图注 : 端口寄存器不使用阴影单元, 它们的读取值为 0 ; = 未实现 ( 读为 0), x = 未知, u = 不变, q = 取值依情况而定 注 1: 如果复位是引脚电平变化唤醒导致的, 则 bit 7 = 1 ; 其他复位时, bit 7 = 0 2: 如果复位是比较器输出电平变化唤醒导致的, 则 bit 6 = 1 ; 其他复位时, bit 6 = I/O 编程注意事项 双向 I/O 端口 一些指令的内部操作顺序是先读取然后再写入 例如, BCF 和 BSF 指令把全部端口数据读入 CPU 中, 再执行位操作, 最后重写结果 如果一个或几个引脚被用作输入 / 输出, 在使用这些指令时要特别小心 例如, 对 GPIO 的 bit 2 进行 BSF 操作, 要把 GPIO 的全部 8 位读入 CPU 中, 将 bit 2 置 1, 然后再把 GPIO 值写入输出锁存器 如果 GPIO 的另一个被用作双向 I/O 的引脚 ( 比如, bit 0) 在此时又被定义为输入的话, 引脚上的当前输入信号将被读入 CPU 中, 而后又被写回到此引脚的数据锁存器中, 覆盖了以前的内容 如果该引脚一直保持在输入模式, 不会有什么问题 但是, 如果稍后 bit 0 被切换到输出模式, 则数据锁存器的内容可能变得不确定 例 5-1 显示了对 I/O 端口连续执行两条读 - 修改 - 写指令 ( 例如, BCF 和 BSF, 等等 ) 的效果 当前输出为高电平或低电平的引脚, 此时不应被外部器件驱动来改变引脚电平 ( 线或 或 线与 ), 其后果是高输出电流可能造成芯片损坏 例 5-1: I/O 端口上的读 - 修改 - 写指令 ;Initial GPIO Settings ;GPIO<3:2> Inputs ;GPIO<1:0> Outputs ; ; GPIO latch GPIO pins ; BCF GPIO, 1 ;---- pp pp11 BCF GPIO, 0 ;---- pp pp11 MOVLW 007h; TRIS GPIO ;---- pp pp11 ; 注 1: 用户可能预期引脚值为 ---- pp00 第二条 BCF 使得 GP1 被锁存并作为引脚值 ( 高 ) 对 I/O 端口的连续操作对 I/O 端口的实际写入发生在指令周期的末尾, 至于读取, 数据必须在指令周期开始时保持有效 ( 图 5-2) 如果对同一 I/O 端口先写 再读的话, 一定要小心 指令序列, 在下一条指令把 I/O 端口数据读入 CPU 之前, 要保证引脚电压是稳定的 ( 这与负载有关 ) 否则, 读入 CPU 中的可能是引脚的前一个状态, 而不是新的状态 如果不能确定, 最好用一条 NOP 指令或者一条不访问此 I/O 端口的指令, 把这些指令分隔开 DS41239D_CN 第 26 页 2007 Microchip Technology Inc.

29 图 5-2: 连续 I/O 操作 (PIC10F200/202/204/206) 取指令 GP<2:0> 执行指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC PC + 1 PC + 2 PC + 3 MOVWF GPIO MOVF GPIO, W 此处写端口引脚 MOVWF GPIO ( 写 GPIO) NOP 此处采样端口引脚 MOVF GPIO,W ( 读 GPIO) NOP NOP 本示例用来说明写 GPIO, 随即读 GPIO 的时序 数据建立时间 = (0.25 TCY TPD) 其中 : TCY = 指令周期 TPD = 传输延迟因此, 在较高的时钟频率下, 写操作后紧跟着读操作, 可能会带来问题 2007 Microchip Technology Inc. DS41239D_CN 第 27 页

30 注 : DS41239D_CN 第 28 页 2007 Microchip Technology Inc.

31 6.0 TIMER0 模块和 TMR0 寄存器 (PIC10F200/202) Timer0 模块具有如下特征 : 8 位定时器 / 计数器寄存器, TMR0 可读 / 写 8 位软件可编程预分频器 内部或外部时钟选择 : - 外部时钟的边沿选择图 6-1 是 Timer0 模块的简化框图 通过清零 T0CS 位 (OPTION<5>), 选择定时器模式 在定时器模式下, 每个指令周期 Timer0 模块将递增 ( 如果没有预分频器的话 ) 如果对 TMR0 寄存器进行写操作, 那么在随后的两个指令周期将禁止其递增 ( 图 6-2 和图 6-3) 通过向 TMR0 寄存器中写入一个调整后的数值, 用户可以避免这一问题 通过将 T0CS 位 (OPTION<5>) 置 1, 选择计数器模式 在计数器模式下, Timer0 将在引脚 T0CKI 的每个上升沿或下降沿递增 T0SE 位 (OPTION<4>) 决定采用哪一个边沿触发计数 清零 T0SE 位, 选择上升沿触发递增计数 关于外部时钟输入限制的详细讨论, 请参见第 6.1 节 对 Timer0 使用外部时钟 (PIC10F200/ 202) Timer0 模块和看门狗定时器都可以使用预分频器, 但两者不能同时使用 预分频器的分配, 是在软件中通过控制位 PSA(OPTION<3>) 来进行的 清零 PSA 位, 将把预分频器分配给 Timer0 预分频器不可读 / 写 当把预分频器分配给 Timer0 模块时, 可以选择的预分频比是 1:2 1:4 和 1:256 第 6.2 节 预分频器 详细讨论了预分频器的操作 与 Timer0 模块相关的寄存器的汇总, 如表 6-1 所示 图 6-1: TIMER0 框图 GP2/T0CKI 引脚 T0SE FOSC/4 0 1 可编程预分频器 (2) 1 0 PSOUT 8 与内部时钟同步 TMR0 寄存器 PSOUT (2TCY 的延迟 ) 同步 数据总线 T0CS (1) 3 PS2, PS1, PS0 (1) PSA (1) 注 1: 位 T0CS T0SE PSA PS2 PS1 和 PS0 在 OPTION 寄存器中 2: 预分频器是与看门狗定时器共用的 ( 图 6-5) 图 6-2: TIMER0 时序 : 内部时钟 / 无预分频器 PC ( 程序计数器 ) 取指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC + 1 PC + 2 PC + 3 PC + 4 PC + 5 PC + 6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 T0 + 2 NT0 NT0 + 1 NT0 + 2 执行指令 写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 读 TMR0 读 NT Microchip Technology Inc. DS41239D_CN 第 29 页

32 图 6-3: TIMER0 时序 : 内部时钟 / 预分频比为 1:2 PC ( 程序计数器 ) 取指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC + 1 PC + 2 PC + 3 PC + 4 PC + 5 PC + 6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 NT0 NT0 + 1 执行指令 写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 读 TMR0 读 NT0 + 2 表 6-1: 与 TIMER0 相关的寄存器 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 其他复位时的值 01h TMR0 Timer0 8 位实时时钟 / 计数器 xxxx xxxx uuuu uuuu N/A OPTION GPWU GPPU T0CS T0SE PSA PS2 PS1 PS N/A TRISGPIO (1) I/O 控制寄存器 图注 : Timer0 不使用阴影单元, = 未实现, x = 未知, u = 不变 注 1: 当 T0CS = 1 时, T0CKI 引脚的 TRIS 被忽略 6.1 对 Timer0 使用外部时钟 (PIC10F200/202) 当外部时钟输入用于 Timer0 时, 它必须满足一定的要求 这主要是因为内部相位时钟 (TOSC) 同步的要求 此外, 同步后 Timer0 要在一定的延迟之后才开始递增计数 外部时钟同步 如果不使用预分频器, 则外部时钟输入即是预分频器输出 T0CKI 与内部相位时钟的同步, 是通过在内部相位时钟的 Q2 和 Q4 周期采样预分频器输出来完成的 ( 图 6-4) 因此, T0CKI 为高电平和低电平的时间必须各自保持至少 2 个 TOSC ( 加上 2 个 Tt0H 的 RC 延时 ) 具体器件的参数值请参见相应的电气规范 当使用预分频器时, 外部时钟输入被异步纹波计数器型预分频器分频, 所以预分频器的输出是对称的 要使外部时钟满足采样的要求, 纹波计数器也必须考虑在内 因而,T0CKI 的周期必须至少为 4 个 TOSC ( 加上 4 个 Tt0H 的 RC 延时 ) 除以预分频值 对 T0CKI 高 低电平时间的唯一要求是, 不得违背 Tt0H 的最小脉冲宽度要求 请参见相应器件电气规范说明中的参数 和 42 DS41239D_CN 第 30 页 2007 Microchip Technology Inc.

33 6.1.2 TIMER0 递增延迟 由于预分频器输出与内部时钟是同步的, 故外部时钟边沿与 Timer0 模块开始递增计数之间存在一段小的延时, 如图 6-4 所示 图 6-4: 带外部时钟的 TIMER0 时序 外部时钟输入或 (2) 预分频器输出 外部时钟 / 预分频器输出 ( 采样后 ) Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 小脉冲未被采样 (3) (1) Timer0 递增 (Q4) Timer0 T0 T0 + 1 T0 + 2 注 1: 时钟输入边沿至 Timer0 递增之间的延时为 3 个 TOSC 至 7 个 TOSC(Q 周期 = TOSC) 因此, 测量 Timer0 输入信号两个边沿之间的时间间隔的误差 = ±4 个 TOSC ( 最大值 ) 2: 如果未使用预分频器, 则为外部时钟 ; 否则, 为预分频器输出 3: 箭头指向进行采样的时间点 6.2 预分频器 一个 8 位计数器, 可以用作 Timer0 模块的预分频器, 或者用作看门狗定时器 (WDT) 的后分频器 ( 见第 9.6 节 看门狗定时器 (WDT) ) 简单起见, 在本数据手册中此计数器统称为 预分频器 注 : 预分频器可用于 Timer0 模块或 WDT, 但不能被它们同时使用 因此, 如果预分频器被分配给 Timer0 模块, 就意味着 WDT 不能使用该预分频器, 反之亦然 PSA 和 PS<2:0> 位 (OPTION<3:0>) 决定预分频器的分配和预分频比的选择 当预分频器被分配给 Timer0 模块时, 所有写 TMR0 寄存器的指令 ( 例如, CLRF 1 MOVWF 1 和 BSF 1,x 等等 ), 都将清零预分频器 ; 当被分配给 WDT 时, CLRWDT 指令将清零预分频器和 WDT 预分频器不可读 / 写 复位时, 预分频器内容全部为 切换预分频器分配预分频器的分配完全由软件控制 ( 即, 可以在程序执行期间动态地改变 ) 为了避免意外的器件复位, 在把预分频器的分配从 Timer0 切换到 WDT 时, 必须执行下列指令序列 ( 例 6-1) 例 6-1: 更改预分频器分配 (TIMER0 WDT) CLRWDT ;Clear WDT CLRF TMR0 ;Clear TMR0 & Prescaler MOVLW 00xx1111 b ;These 3 lines (5, 6, 7) OPTION ;are required only if ;desired CLRWDT ;PS<2:0> are 000 or 001 MOVLW 00xx1xxx b ;Set Postscaler to OPTION ;desired WDT rate 2007 Microchip Technology Inc. DS41239D_CN 第 31 页

34 要把预分频器分配从 WDT 变到 Timer0 模块, 使用例 6-2 所示的指令序列 即使 WDT 被禁止, 也必须使用这一序列 在切换预分频器之前, 应该执行一条 CLRWDT 指令 例 6-2: 更改预分频器分配 (WDT TIMER0) CLRWDT ;Clear WDT and ;prescaler MOVLW xxxx0xxx ;Select TMR0, new ;prescale value and ;clock source OPTION 图 6-5: TIMER0/WDT 预分频器的框图 TCY (= FOSC/4) 数据总线 GP2/T0CKI (2) 引脚 0 1 M U X 1 0 M U X 同步 2 个周期 TMR0 寄存器 8 T0SE (1) T0CS (1) PSA (1) 0 M 8 位预分频器 看门狗定时器 1 U X 8 8 选 1 MUX PS<2:0> (1) PSA (1) WDT 使能位 0 1 MUX PSA (1) WDT 超时 注 1: T0CS T0SE PSA 和 PS<2:0> 是 OPTION 寄存器中的位 2: 在 PIC10F200/202/204/206 器件上, T0CKI 是与 GP2 引脚复用的 DS41239D_CN 第 32 页 2007 Microchip Technology Inc.

35 7.0 TIMER0 模块和 TMR0 寄存器 (PIC10F204/206) Timer0 模块具有如下特征 : 8 位定时器 / 计数器寄存器, TMR0 可读 / 写 8 位软件可编程预分频器 内部或外部时钟选择 : - 外部时钟的边沿选择 - 外部时钟来自 T0CKI 引脚或者来自比较器的输出图 7-1 是 Timer0 模块的简化框图 通过清零 T0CS 位 (OPTION<5>), 选择定时器模式 在定时器模式下, 在每个指令周期 Timer0 模块将递增 ( 如果没有预分频器的话 ) 如果对 TMR0 寄存器进行写操作, 那么在随后的两个指令周期将禁止其递增 ( 图 7-2 和图 7-3) 通过向 TMR0 寄存器中写入一个调整后的值, 用户可以避免这一问题 有两种计数器模式 第一种计数器模式使用 T0CKI 引脚信号来触发 Timer0 递增计数 通过将 T0CS 位 (OPTION<5>) CMPT0CS 位 (CMCON0<4>) 以及 COUTEN 位 (CMCON0<6>) 置 1, 来选择该模式 在此模式下, Timer0 将在引脚 T0CKI 的每个上升沿或下降沿递增计数 T0SE 位 (OPTION<4>) 决定采用哪个触发边沿 清零 T0SE 位, 选择上升沿触发计数 关于外部时钟输入限制的详细讨论, 请参见第 7.1 节 对 Timer0 使用外部时钟 (PIC10F204/206) 第二种计数器模式使用比较器输出信号来触发 Timer0 递增 进入第二种计数器模式有两种不同的途径 第一种途径是通过将 T0CS 位 (OPTION<5>) 置 1 并将 CMPT0CS 位 (CMCON0<4>) 清零来实现的 ; COUTEN (CMCON0<6>) 不影响这种模式的操作 这将使能比较器和 Timer0 之间的一个内部连接 第二种途径是通过将 T0CS 位 (OPTION<5>) 和 CMPT0CS 位 (CMCON0<4>) 置 1, 且清零 COUTEN 位 (CMCON0<6>) 来实现的 这将允许比较器输出信号输出到 T0CKI 引脚, 同时使 T0CKI 输入保持为有效状态 于是,COUT 引脚上比较器输出电平的任何变化都将反馈到 T0CKI 输入端 T0SE 位 (OPTION<4>) 决定采用哪个触发边沿 清零 T0SE 位, 选取上升沿触发计数 关于外部时钟输入限制的详细讨论, 请参见第 7.1 节 对 Timer0 使用外部时钟 (PIC10F204/206) Timer0 模块和看门狗定时器都可以使用预分频器, 但两者不能同时使用 预分频器的分配, 是在软件中通过控制位 PSA (OPTION<3>) 来进行控制的 清零 PSA 位, 将把预分频器分配给 Timer0 预分频器不可读 / 写 当把预分频器分配给 Timer0 模块时, 可以选择的预分频比是 1:2 1:4 和 1:256 第 7.2 节 预分频器 详细说明了预分频器的操作 与 Timer0 模块相关的寄存器的汇总, 如表 7-1 所示 图 7-1: T0CKI 引脚 内部比较器输出 1 0 TIMER0 框图 (PIC10F204/206) T0SE (1) FOSC/4 0 1 可编程 (2) 预分频器 1 0 PSOUT 与内部时钟同步 PSOUT (2 TCY 的延时 ) 同步 数据总线 8 TMR0 寄存器 CMPT0CS (3) T0CS (1) 3 PS2, PS1, PS0 (1) PSA (1) 注 1: T0CS T0SE PSA PS2 PS1 和 PS0 位在 OPTION 寄存器中 2: 预分频器是与看门狗定时器共用的 ( 图 7-5) 3: CMPT0CS 位在 CMCON0 寄存器中, 即 CMCON0<4> 2007 Microchip Technology Inc. DS41239D_CN 第 33 页

36 图 7-2: TIMER0 时序 : 内部时钟 / 无预分频器 PC ( 程序计数器 ) 取指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC + 1 PC + 2 PC + 3 PC + 4 PC+5 PC + 6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 T0 + 2 NT0 NT0 + 1 NT0 + 2 执行指令 写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 读 TMR0 读 NT0 + 2 图 7-3: TIMER0 时序 : 内部时钟 / 预分频比为 1:2 PC ( 程序计数器 ) 取指令 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 PC 1 PC PC + 1 PC + 2 PC + 3 PC + 4 PC + 5 PC + 6 MOVWF TMR0 MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W MOVF TMR0,W Timer0 T0 T0 + 1 NT0 NT0 + 1 执行指令 写 TMR0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 读 TMR0 读 NT0 + 1 读 TMR0 读 NT0 + 2 表 7-1: 与 TIMER0 相关的寄存器 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 其他复位时的值 01h TMR0 Timer0 8 位实时时钟 / 计数器 xxxx xxxx uuuu uuuu 07h CMCON0 CMPOUT COUTEN POL CMPT0CS CMPON CNREF CPREF CWU uuuu uuuu N/A OPTION GPWU GPPU T0CS T0SE PSA PS2 PS1 PS N/A TRISGPIO (1) I/O 控制寄存器 图注 : Timer0 不使用阴影单元, = 未实现, x = 未知, u = 不变 注 1: 当 T0CS = 1 时, T0CKI 引脚的 TRIS 值被忽略 7.1 对 Timer0 使用外部时钟 (PIC10F204/206) 当外部时钟输入用于 Timer0 时, 它必须满足一定的要求 这主要是因为内部相位时钟 (TOSC) 同步的要求 此外, 同步后 Timer0 要在一定的延迟之后才开始递增计数 外部时钟同步 如果不使用预分频器, 则外部时钟输入即是预分频器输出 外部时钟与内部相位时钟的同步, 是通过在内部相位时钟的 Q2 和 Q4 周期采样预分频器输出来完成的 ( 图 7-4) 因此, T0CKI 或比较器的输出为高电平和低电平的时间必须各自保持至少 2 个 TOSC ( 加上 2 个 Tt0H 的 RC 延时 ) 具体器件的参数值请参见相应的电气规范 当使用预分频器时, 外部时钟输入被异步纹波计数器型预分频器分频, 所以预分频器的输出是对称的 要使外部时钟满足采样的要求, 纹波计数器也必须考虑在内 因而,T0CKI 或比较器输出的周期必须至少为 4 个 TOSC ( 加上 4 个 Tt0H 的 RC 延时 ) 除以预分频值 对 T0CKI 或比较器输出高 低电平时间的唯一要求是, 不得违背 Tt0H 的最小脉冲宽度要求 请参见相应器件电气规范中的参数 和 42 DS41239D_CN 第 34 页 2007 Microchip Technology Inc.

37 7.1.2 TIMER0 递增延迟 由于预分频器输出是与内部时钟同步的, 故外部时钟边沿与 Timer0 模块开始递增计数之间存在一段小的延时, 如图 7-4 所示 图 7-4: 带外部时钟的 TIMER0 时序 外部时钟输入或 (2) 预分频器输出 外部时钟 / 预分频器输出 ( 采样后 ) Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 小脉冲未被采样 (3) (1) Timer0 递增 (Q4) Timer0 T0 T0 + 1 T0 + 2 注 1: 时钟输入边沿至 Timer0 递增之间的延时为 3 个 TOSC 至 7 个 TOSC(Q 周期 = TOSC) 因此, 测量 Timer0 输入信号两个边沿之间的时间间隔的误差 = ±4 个 TOSC ( 最大值 ) 2: 如果未使用预分频器, 则为外部时钟 ; 否则, 为预分频器输出 3: 箭头指向进行采样的时间点 7.2 预分频器 一个 8 位计数器, 可以用作 Timer0 模块的预分频器, 或者用作看门狗定时器 (WDT) 的后分频器 ( 见图 9-6) 简单起见, 在本数据手册中此计数器统称为 预分频器 注 : 预分频器可用于 Timer0 模块或 WDT, 但不能被他们同时使用 因此, 如果预分频器被分配给 Timer0 模块, 就意味着 WDT 不能使用该预分频器, 反之亦然 PSA 和 PS<2:0> 位 (OPTION<3:0>) 决定预分频器的分配和预分频比的选择 当预分频器被分配给 Timer0 模块时, 所有写 TMR0 寄存器的指令 ( 例如, CLRF 1 MOVWF 1 和 BSF 1,x 等等 ), 都将清零预分频器 ; 当被分配给 WDT 时, CLRWDT 指令将清零预分频器和 WDT 预分频器不可读 / 写 复位时, 预分频器内容全部为 切换预分频器分配预分频器分配完全由软件控制 ( 即, 可以在程序执行期间动态地改变 ) 为了避免意外的器件复位, 在把预分频器的分配从 Timer0 切换到 WDT 时, 必须执行下列指令序列 ( 例 7-1) 例 7-1: 更改预分频器分配 (TIMER0 WDT) CLRWDT ;Clear WDT CLRF TMR0 ;Clear TMR0 & Prescaler MOVLW 00xx1111 b ;These 3 lines (5, 6, 7) OPTION ;are required only if ;desired CLRWDT ;PS<2:0> are 000 or 001 MOVLW 00xx1xxx b ;Set Postscaler to OPTION ;desired WDT rate 要把预分频器分配从 WDT 变到 Timer0 模块, 使用例 7-2 所示的指令序列 即使 WDT 被禁止, 也必须使用这一序列 在切换预分频器之前, 应该执行一条 CLRWDT 指令 2007 Microchip Technology Inc. DS41239D_CN 第 35 页

38 例 7-2: 更改预分频器分配 (WDT TIMER0) CLRWDT ;Clear WDT and ;prescaler MOVLW xxxx0xxx ;Select TMR0, new ;prescale value and ;clock source OPTION 图 7-5: GP2/T0CKI (2) 引脚 TIMER0/WDT 预分频器框图 TCY (= FOSC/4) 数据总线 比较器输出 M U X 1 0 M U X 同步 2 个周期 TMR0 寄存器 8 T0SE (1) T0CS (1) PSA (1) CMPT0CS (3) 0 M 8 位预分频器 看门狗定时器 1 U X 8 8 选 1 MUX PS<2:0> (1) PSA (1) WDT 使能位 0 1 MUX PSA (1) WDT 超时 注 1: T0CS T0SE PSA 和 PS<2:0> 是 OPTION 寄存器中的位 2: T0CKI 是与 GP2 引脚复用的 3: CMPT0CS 位在 CMCON0 寄存器中 DS41239D_CN 第 36 页 2007 Microchip Technology Inc.

39 8.0 比较器模块 比较器模块包含一个模拟比较器 比较器的输入是与 GP0 和 GP1 引脚复用的 比较器的输出信号可以输出到 GP2 引脚上 CMCON0 寄存器, 如寄存器 8-1 所示, 控制着比较器的操作 比较器的框图如图 8-1 所示 寄存器 8-1: CMCON0 寄存器 R-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 CMPOUT COUTEN POL CMPT0CS CMPON CNREF CPREF CWU bit 7 bit 0 图注 : R = 可读位 W = 可写位 U = 未实现位, 读为 0 -n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 bit 7 CMPOUT: 比较器输出位 1 = VIN+ > VIN- 0 = VIN+ < VINbit 6 (1, 2) COUTEN: 比较器输出使能位 1 = 比较器输出不被置于 COUT 引脚上 0 = 比较器输出置于 COUT 引脚上 (2) bit 5 POL: 比较器输出极性位 1 = 比较器输出不翻转 0 = 比较器输出翻转 bit 4 (2) CMPT0CS: 比较器 TMR0 时钟源位 1 = 通过 T0CS 控制位来选择 TMR0 时钟源 0 = 比较器输出用作 TMR0 时钟源 bit 3 CMPON: 比较器使能位 1 = 比较器打开 0 = 比较器关闭 (2) bit 2 CNREF: 比较器负参考源选择位 (3) 1 = CIN- 引脚 0 = 内部参考电压 bit 1 CPREF: 比较器正参考源选择位 (2) 1 = CIN+ 引脚 (3) (3) 0 = CIN- 引脚 bit 0 CWU: 比较器电平变化唤醒使能位 (2) 1 = 禁止比较器电平变化唤醒 0 = 使能比较器电平变化唤醒 注 1: 该位改写 T0CS 位以进行 GP2 的 TRIS 控制 2: 当比较器打开时, 这些控制位起作用 ; 当比较器关闭时, 这些位对器件操作不产生影响, 其他控制寄存器具有优先控制权 3: 仅限 PIC10F204/206 器件 2007 Microchip Technology Inc. DS41239D_CN 第 37 页

40 8.1 比较器配置 片上比较器输入 (GP0/CIN+ 和 GP1/CIN-) 以及比较器输出 (GP2/COUT) 都是可控的 CMCON0 OPTION 和 TRIS 寄存器用来控制这些引脚 ( 见图 8-1) 如果比较器模式发生改变, 在表 12-1 中列出的特定模式改变延时时段内, 比较器的输出可能是非有效电平 注 : 比较器的输出可以翻转 ( 见图 8-1) 图 8-1: 比较器框图 CPREF T0CKI/GP2/COUT OSCCAL 带隙缓冲器 (0.6V) C+ C- + - COUTEN COUT( 寄存器 ) CNREF CMPON POL T0CKI T0CKI 引脚 T0CKSEL CWU Q D CWUF S 读 CMCON 表 8-1: TMR0 时钟源功能选择 T0CS CMPT0CS COUTEN 时钟源 0 x x 内部指令周期 CMPOUT CMPOUT CMPOUT T0CKI DS41239D_CN 第 38 页 2007 Microchip Technology Inc.

41 8.2 比较器工作原理 图 8-2 所示为单比较器以及模拟输入电平与数字输出之间的关系 当 VIN+ 的模拟输入电平小于 VIN- 的模拟输入电平时, 比较器输出数字低电平 ; 当 VIN+ 的模拟输入电平大于 VIN- 的模拟输入电平时, 比较器输出数字高电平 在图 8-2 中, 比较器输出的阴影部分, 表示由于输入失调和响应时间而导致的输出不确定区域 共模电压请参见表 12-1 图 8-2: Vin- VIN- VIN+ 结果 Vin+ 单比较器 8.3 比较器参考电压 比较器可以使用内部参考信号, 这取决于比较器的工作模式 VIN- 上的模拟信号与 VIN+ 上的信号进行比较, 然后相应地调整比较器的数字输出 ( 图 8-2) 内部参考信号的规范, 请参见表 比较器响应时间 + 结果 响应时间是从选择了新的参考电压或输入源开始到比较器输出达到有效电平的最短时间 如果比较器输入发生改变, 必须要经过一段延时, 使得比较器能够稳定在新的状态 比较器响应时间的规范, 请参见表 比较器输出 通过 CMCON0 寄存器来读取比较器输出状态 这是只读位 比较器输出也可在内部使用, 请参见图 8-1 注 : 在定义为数字输入的引脚上施加模拟电平, 可能导致输入缓冲器消耗的电流超出规定值 8.6 比较器唤醒标志位 只要下列条件全部得到满足, 比较器唤醒标志位就将置 1: CWU = 0 (CMCON0<0>) 通过读取 CMCON0 锁存 CMPOUT 位的上一个已知状态 (MOVF CMCON0, W) 器件处于休眠状态 比较器输出的状态已经发生了改变可通过软件或者器件复位来清零唤醒标志位 8.7 休眠期间的比较器操作 当比较器处于工作状态而器件处于休眠模式时, 比较器仍将保持在工作状态 给比较器上电时, 会产生比掉电电流规范中给出的值更大的休眠电流 要在休眠模式下使器件功耗最小, 应在进入休眠模式之前关闭比较器 8.8 复位的影响 上电复位 (POR) 会强制 CMCON0 寄存器进入复位状态 这将使比较器模块处于比较器复位模式 确保所有可能的输入都为模拟输入 复位时引脚为模拟输入将使器件电流达到最小 在复位期间, 比较器将掉电 8.9 模拟输入连接注意事项 图 8-3 所示为简化的模拟输入电路 由于模拟引脚直接连接到数字输出, 因此需要有两个反向偏置二极管分别连接到 VDD 和 VSS, 从而将模拟输入限制在 VSS 和 VDD 之间 如果输入电压偏离了这个范围超过 ±0.6V 的话, 其中一个二极管就将发生正向偏置, 从而可能使输入电压闭锁 模拟信号源的最大阻抗推荐值为 10 kω 连接到模拟输入引脚的任何外部元件 ( 例如电容或齐纳二极管 ) 的泄漏电流应极小 2007 Microchip Technology Inc. DS41239D_CN 第 39 页

42 图 8-3: 模拟输入模式 VDD RS < 10 kω VT = 0.6V RIC VA AIN CPIN 5pF VT = 0.6V ILEAKAGE ±500 na 图注 : CPIN = 输入电容 VT = 门限电压 ILEAKAGE = 引脚上的泄漏电流 RIC = 内部连线等效电阻 RS = 信号源阻抗 VA = 模拟电压 VSS 表 8-2: 与比较器模块相关的寄存器 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 其他复位时的值 03h STATUS GPWUF CWUF TO PD Z DC C xxx qq0q quuu 07h CMCON0 CMPOUT COUTEN POL CMPT0CS CMPON CNREF CPREF CWU uuuu uuuu N/A TRISGPIO I/O 控制寄存器 图注 : x = 未知, u = 不变, = 未实现 ( 读为 0), q = 取值依情况而定 DS41239D_CN 第 40 页 2007 Microchip Technology Inc.

43 9.0 CPU 的特性 单片机与其他处理器的关键区别在于前者拥有满足实时应用需要的特殊电路 PIC10F200/202/204/206 单片机就拥有许多这样的特性, 可极大地提高系统可靠性和降低系统成本 ( 通过减少外部元件 ), 并提供省电工作模式和代码保护功能 这些特性包括 : 复位 : - 上电复位 (POR) - 器件复位定时器 (DRT) - 看门狗定时器 (WDT) - 引脚电平变化时从休眠中唤醒 - 比较器输出电平变化时从休眠中唤醒 休眠 代码保护 ID 地址单元 在线串行编程 (In-Circuit Serial Programming ) 时钟输出 PIC10F200/202/204/206 器件有一个看门狗定时器, 它只能通过配置位 WDTE 来关闭 为了增强可靠性, 看门狗定时器使用自带的 RC 振荡器 当使用 INTRC 时, 仅在 VDD 上电时有 18 ms 的延时 有了这个片上定时器, 大多数应用将不再需要外部复位电路 休眠模式提供了一种消耗电流极低的掉电模式 用户可以通过输入引脚上的电平变化 比较器输出电平变化或者看门狗定时器超时, 把器件从休眠状态中唤醒 9.1 配置位 PIC10F200/202/204/206 配置字有 12 位, 可以通过对这些配置位进行编程, 来选择各种器件配置 其中一位是看门狗定时器使能位, 一位是 MCLR 使能位, 一位用于代码保护 ( 见寄存器 9-1) 寄存器 9-1: (1), (2) PIC10F200/202/204/206 的配置字 MCLRE CP WDTE bit 11 bit 0 图注 : R = 可读位 W = 可写位 U = 未实现位, 读为 0 -n = 上电复位时的值 1 = 置 1 0 = 清零 x = 未知 bit 11-5 未实现 : 读为 0 bit 4 MCLRE:GP3/MCLR 引脚功能选择位 1 = GP3/MCLR 引脚功能为 MCLR 0 = GP3/MCLR 引脚功能为数字 I/O, MCLR 从内部连接至 VDD bit 3 CP: 代码保护位 1 = 关闭代码保护功能 0 = 打开代码保护功能 bit 2 WDTE: 看门狗定时器使能位 1 = 使能 WDT 0 = 禁止 WDT bit 1-0 保留 : 读为 0 注 1: 请参见 PIC10F200/202/204/206 Memory Programming Specifications (DS41228), 来了解如何访问配置字 器件工作期间, 用户不可对配置字进行寻址 2: PIC10F200/202/204/206 器件只提供 INTRC 振荡器模式 2007 Microchip Technology Inc. DS41239D_CN 第 41 页

44 9.2 振荡器配置 振荡器类型 PIC10F200/202/204/206 器件仅提供内部振荡器模式 INTOSC: 内部 4MHz 振荡器 内部 4MHz 振荡器 内部振荡器提供一个 4MHz( 标称值 ) 系统时钟 ( 时钟随电压和温度而变化的信息, 请参见第 12.0 节 电气特性 ) 此外, 在存储器的最后一个地址已经写入了一条校准指令, 该指令包含了内部振荡器的校准值 不管代码保护选项如何设置, 这个存储单元始终处于非代码保护状态 该存储单元写入了一条 MOVLW xx 指令, 其中 xx 就是校准值, 该单元的地址存放在复位向量中 复位时, W 将装入校准值, 随即 PC 将返回 0x000 地址处执行用户程序 然后, 用户可以选择把校准值写入 OSC- CAL 寄存器 (05h), 或者忽略它 OSCCAL 在被写入校准值时, 将 修正 内部振荡器, 消除振荡器频率在工作过程中造成的偏离 9.3 复位 器件的复位有很多种 : 上电复位 (POR) 正常工作期间的 MCLR 复位 休眠期间的 MCLR 复位 正常工作期间的 WDT 超时复位 休眠期间的 WDT 超时复位 引脚电平变化时从休眠中唤醒 比较器输出电平变化时从休眠中唤醒一些寄存器在任何情况下都不会复位, POR 时其状态不确定, 其他复位时状态保持不变 大多数寄存器, 在上电复位时 (POR) 或在正常工作期间的 MCLR WDT 或电平变化唤醒复位时, 都将复位到 复位状态 它们不会受到休眠期间的 WDT 或 MCLR 复位的影响, 因为这些复位被视为是恢复正常操作 但 TO PD GPWUF 和 CWUF 位是例外, 在不同的复位情况下, 它们或者置 1 或者清零 在软件中使用这些位来确定复位的类型 全部寄存器复位的情况, 请参见表 9-1 注 : 擦除器件, 也将擦除预先设定的内部振荡器的校准值 在擦除器件之前, 必须先读出校准值, 以便能够再次正确地设置该值 表 9-1: 寄存器的复位状态 PIC10F200/202/204/206 寄存器地址上电复位 MCLR 复位 WDT 超时 引脚电平变化时唤醒和比较器输出电平变化时唤醒 W qqqq qqqu (1) qqqq qqqu (1) INDF 00h xxxx xxxx uuuu uuuu TMR0 01h xxxx xxxx uuuu uuuu PCL 02h STATUS 03h xxx q00q quuu (2) STATUS (3) 03h xxx qq0q quuu (2) FSR 04h 111x xxxx 111u uuuu OSCCAL 05h uuuu uuuu GPIO 06h ---- xxxx ---- uuuu CMCON (3) 07h uuuu uuuu OPTION TRISGPIO 图注 : u = 不变, x = 未知, = 未实现 ( 读为 0), q = 取值依情况而定 注 1: W 寄存器的 Bit<7:2> 含有振荡器校准值, 来自存储器顶部的 MOVLW XX 指令 2: 特定条件下的复位值, 请参见表 9-2 3: 仅限 PIC10F204/206 器件 DS41239D_CN 第 42 页 2007 Microchip Technology Inc.

45 表 9-2: 特殊寄存器的复位状态 STATUS 地址 :03h PCL 地址 :02h 上电复位 xxx 正常工作期间的 MCLR 复位 000u uuuu 休眠期间的 MCLR 复位 uuu 休眠期间的 WDT 复位 uuu 正常工作期间的 WDT 复位 0000 uuuu 引脚电平变化时从休眠中唤醒 uuu 比较器输出电平变化时从休眠中唤醒 uuu 图注 : u = 不变, x = 未知, = 未实现 ( 读为 0) MCLR 使能 该配置位, 在未被编程时 ( 停留在 1 状态 ), 将使能外部 MCLR 功能 在被编程后, MCLR 引脚将连接至内部 VDD, 从而被用作 I/O ( 见图 9-1) 图 9-1: GPWU MCLRE MCLR 选择 9.4 上电复位 (POR) GP3/MCLR/VPP 内部 MCLR PIC10F200/202/204/206 器件在片上集成了上电复位 (POR) 电路, 可用于大多数的上电复位情况 片上 POR 电路将使芯片保持在复位状态, 直到 VDD 达到正常工作所需的电平为止 要使用内部 POR 电路, 应把 GP3/MCLR/VPP 引脚设置为 MCLR 且通过电阻把它连接到 VDD, 或者把该引脚设置为 GP3 用晶体管实现了一个内部弱上拉电阻 ( 上拉电阻阻值的范围见表 12-3), 从而可以省去构成上电复位电路通常所需的外部 RC 元件 关于 VDD 的最大上升时间的规范, 请参见第 12.0 节 电气特性 当器件开始正常工作时 ( 从复位状态中退出 ), 器件工作参数 ( 电压 频率 温度, 等 ) 必须得到满足以确保器件正常工作 如果这些条件得不到满足, 必须使器件继续保持在复位状态, 直到工作参数满足要求为止 片上复位电路的简化框图如图 9-2 所示 上电复位电路和器件复位定时器 ( 见第 9.5 节 器件复位定时器 (DRT) ) 电路密切相关 在上电时, 复位锁存器置 1, DRT 复位 DRT 定时器一旦检测到 MCLR 为高电平就开始计数 计数周期 ( 通常为 18 ms) 结束后, DRT 定时器将使复位锁存器复位, 从而结束片上复位信号 图 9-3 所示为一个上电的例子, 其中 MCLR 保持为低电平 在把 MCLR 拉高之前, 有一段时间允许 VDD 电压上升并稳定 实际上, 在 MCLR 变高并经过 TDRT 毫秒后, 芯片才退出复位状态 图 9-4 中, 使用了片上的上电复位电路 (MCLR 和 VDD 相连, 或者被设定为 GP3) 在启动定时器超时之前 VDD 是稳定的, 因此可进行正确的复位 然而, 图 9-5 中 VDD 上升速度太慢, 从而带来问题 从 DRT 检测到 MCLR 为高电平, 到 MCLR 和 VDD 到达其正常工作电压, 这期间的时间间隔太长 在这样的情形下, 当启动定时器超时的时候,VDD 仍然没有达到 VDD( 最小 ) 值, 芯片就不能正常工作 对于这样情况, 我们推荐使用外部 RC 电路, 以获得比较长的 POR 延时 ( 图 9-4) 注 : 当器件开始正常工作时 ( 退出复位状态 ), 器件工作参数 ( 电压 频率和温度等 ) 必须得到满足以确保器件正常工作 如果这些条件得不到满足, 必须使器件继续保持在复位状态, 直到工作参数满足要求为止 更多信息, 请参阅应用笔记 AN522 Power-Up Considerations (DS00522) 和 AN607 Power-up Trouble Shooting (DS00607) 2007 Microchip Technology Inc. DS41239D_CN 第 43 页

46 图 9-2: 片上复位电路的简化框图 VDD 上电检测 POR( 上电复位 ) GP3/MCLR/VPP MCLR 复位 S Q MCLRE WDT 超时 引脚电平变化休眠 WDT 复位 引脚电平变化时唤醒复位 启动定时器 (10µs 或 18ms) R Q CHIP Reset 图 9-3: 上电时的延时时序 (MCLR 为低电平 ) VDD MCLR 内部 POR TDRT DRT 延时 内部复位 图 9-4: 上电时的延时时序 (MCLR 连接至 VDD): VDD 快速上升 VDD MCLR 内部 POR TDRT DRT 延时 内部复位 DS41239D_CN 第 44 页 2007 Microchip Technology Inc.

47 图 9-5: 上电时的延时时序 (MCLR 连接至 VDD): VDD 缓慢上升 V1 VDD MCLR 内部 POR TDRT DRT 延时 内部复位 注 : 当 VDD 上升缓慢时, 在 VDD 尚未达到其终值之前,TDRT 就已经超时了 在本例中, 当且仅当 V1 VDD 最小值时, 芯片才会正确复位 2007 Microchip Technology Inc. DS41239D_CN 第 45 页

48 9.5 器件复位定时器 (DRT) 在 PIC10F200/202/204/206 器件上, 只要器件上电, DRT 就开始运行 内部振荡器作为 DRT 的时钟 只要 DRT 处于工作状态, 处理器就将保持在复位状态 DRT 延时使得 VDD 能够上升并超过 VDD 最小值, 且振荡器能够到达稳定状态 在 MCLR 已经达到逻辑高电平 (VIH MCLR) 之后, 片上 DRT 将继续使器件停留在复位状态约 18 ms 在大多数情况下, 不需要把 GP3/MCLR/VPP 设置为 MCLR 和使用连接到 MCLR 输入的外部 RC 网络, 这样可以降低系统成本和 / 或节省空间 ; 此外,GP3/MCLR/VPP 引脚还可以用作通用输入引脚 每个器件的复位定时器延迟, 将随 VDD 温度和工艺制造过程的变化而不同 详情请参见 AC 参数 复位源可以是 POR MCLR WDT 超时以及引脚电平变化唤醒 请参见第 节 从休眠中唤醒 中的注 1 2 和 WDT 周期 WDT 的超时周期为 18 ms( 标称值, 无预分频器 ) 如果需要较长的超时周期, 可以把预分频器分配给 WDT, 分频比可高达 1:128 ( 在软件控制之下 ) 通过写入 OPTION 寄存器来分配预分频器, 这样可以实现标称值为 2.3 秒的超时周期 超时周期随温度 VDD 和各器件工艺制造过程的变化而不同 ( 见 DC 规范 ) 在最极端的情况下 (VDD = 最小值, 温度 = 最大值, 预分频器 = 最大预分频比 ), 可能需要好几秒钟才会发生 WDT 超时 WDT 编程注意事项 CLRWDT 指令将把 WDT 和后分频器 ( 如果已分配后分频器 ) 清零, 从而阻止 WDT 发生超时和器件复位 SLEEP 指令将复位 WDT 和后分频器 ( 如果已分配后分频器 ) 这将得到 WDT 唤醒复位之前的最长休眠时间 表 9-3: DRT ( 器件复位定时器周期 ) INTOSC 振荡器 POR 复位后续复位 18 ms ( 典型值 ) 10 µs ( 典型值 ) 9.6 看门狗定时器 (WDT) 看门狗定时器 (WDT) 是独立运行的片上 RC 振荡器, 它不需要任何外部元件 此 RC 振荡器与内部 4MHz 振荡器无关 这意味着, 即使主处理器时钟停止 ( 例如, 执行了 SLEEP 指令 ), WDT 仍将运行 在器件正常工作或休眠期间,WDT 复位或唤醒复位将引起器件复位 看门狗定时器复位时,TO 位 (STATUS<4>) 将被清零 把配置位 WDTE 设置为 0 ( 见第 9.1 节 配置位 ), 可永久性地禁止 WDT 如何访问配置字请参见 PIC10F200/202/204/206 编程规范 DS41239D_CN 第 46 页 2007 Microchip Technology Inc.

49 图 9-6: 看门狗定时器框图 来自 Timer0 时钟源 ( 图 6-5) 看门狗定时器 0 1 M U X Postscaler 后分频器 8 选 1 MUX PS<2:0> WDT 使能配置位 PSA 至 Timer0 ( 图 6-4) 0 MUX 1 PSA WDT 超时 表 9-4: 与看门狗定时器相关的寄存器汇总 地址名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电复位时的值 其他复位时的值 N/A OPTION GPWU GPPU T0CS T0SE PSA PS2 PS1 PS 图注 : 看门狗定时器不使用阴影单元, = 未实现 ( 读为 0), u = 不变 2007 Microchip Technology Inc. DS41239D_CN 第 47 页

50 9.7 延时时序 掉电及从休眠中唤醒状态位 (TO PD GPWUF 和 CWUF) 可通过测试状态寄存器中的 TO PD GPWUF 和 CWUF 位, 以便确定导致复位的原因是上电 MCLR 看门狗定时器 (WDT) 复位 比较器输出电平变化时唤醒还是引脚电平变化时唤醒 表 9-5: 复位后 TO PD GPWUF 和 CWUF 的状态 CWUF GPWUF TO PD 复位原因 WDT 从休眠中唤醒 u WDT 超时 ( 非休眠中唤醒 ) MCLR 从休眠中唤醒 上电 0 0 u u MCLR ( 非休眠期间 ) 引脚电平变化时从休眠中唤醒 比较器输出电平变化时从休眠中唤醒 图注 : u = 不变, x = 未知, = 未实现 ( 读为 0), q = 取值依情况而定 注 1: TO PD GPWUF 和 CWUF 位保持其状态 (u), 直到发生复位 MCLR 输入引脚上的低电平脉冲不改 变 TO PD GPWUF 或 CWUF 状态位 9.8 欠压复位 欠压是指这样一种情况 : 器件电源电压 (VDD) 下降到低于其最小值, 但并未到零, 然后电压又恢复正常 一旦出现欠压, 器件就应当复位 发生欠压时要复位 PIC10F200/202/204/206 器件, 需要设计外部欠压保护电路, 如图 9-7 和图 9-8 所示 图 9-7: 欠压保护电路 1 图 9-8: 欠压保护电路 2 VDD VDD R1 PIC10F20X Q1 MCLR (2) R2 40k (1) VDD VDD 33k 10k Q1 MCLR (2) PIC10F20X 注 1: 本欠压电路的成本要低一些, 但同时精度也略低 当 VDD 低于下面电压时, 晶体管 Q1 将截止 : 40k (1) R1 VDD R1 + R2 2: 必须确认引脚是 MCLR = 0.7V 注 1: 当 VDD 低于 Vz + 0.7V ( 其中 Vz = 齐纳电压 ) 时, 本电路将触发复位 2: 必须确认引脚是 MCLR DS41239D_CN 第 48 页 2007 Microchip Technology Inc.

51 图 9-9: 欠压保护电路 3 VSS 注 : MCP809 RST 9.9 掉电模式 ( 休眠 ) 器件可能掉电 ( 休眠 ), 稍后再上电 ( 从休眠中唤醒 ) 休眠 VDD VDD 旁路电容 VDD MCLR PIC10F20X 本欠压保护电路使用 Microchip 的 MCP809 单片机监控器, 它有 7 个跳变点, 适用于 5V 至 3V 的系统 通过执行 SLEEP 指令, 器件进入掉电模式 此时, 如果使能了看门狗定时器, 它将被清零但继续保持运行, TO 位 (STATUS<4>) 置 1, PD 位 (STATUS<3>) 清零, 振荡器驱动器关闭 I/O 端口保持在 SLEEP 指令执行之前的状态 ( 驱动为高电平 驱动为低电平或高阻态 ) 注 : WDT 超时引起的复位不会使 MCLR 引脚变为低电平 掉电时要使电流消耗最小, T0CKI 输入应该为 VDD 或 VSS, 且 GP3/MCLR/VPP 引脚必须为逻辑高电平 ( 如果使能了 MCLR) 从休眠中唤醒 下列任一事件, 可以把器件从休眠状态中唤醒 : 1. GP3/MCLR/VPP 引脚上出现外部复位输入信号 ( 如果引脚被配置为 MCLR) 2. 看门狗定时器超时复位 ( 如果使能 WDT) 3. 输入引脚 GP0 GP1 或 GP3 上的电平发生变化 ( 如果使能电平变化唤醒 ) 4. 比较器输出电平发生变化 ( 如果使能比较器输出电平变化唤醒 ) 这些事件都将导致器件复位 TO PD GPWUF 和 CWUF 位可以用来确定复位的原因 如果发生 WDT 超时 ( 且导致唤醒 ), 则 TO 位被清零 PD 位在上电时置 1, 执行 SLEEP 指令时被清零 GPWUF 位表明休眠期间 GP0 GP1 或 GP3 引脚的状态是否发生改变 ( 自上次对 GP 端口上的文件或位操作以来 ) CWUF 位表明休眠期间比较器输出的状态是否发生改变 注 : 注 : 警告 : 在进入休眠模式之前, 应读取输入引脚状态 休眠期间, 一旦引脚的值与上次读入的值不同, 就会产生唤醒 如果发生电平变化唤醒后, 在重新进入休眠状态时没有读取引脚的话, 即使引脚电平并没有发生改变, 也会立即产生唤醒 无论唤醒源是什么, 只要器件从休眠中被唤醒, 都将清零 WDT 2007 Microchip Technology Inc. DS41239D_CN 第 49 页

52 9.10 程序校验 / 代码保护 图 9-10: 典型的在线串行编程连接 如果没有设置代码保护位的话, 用户可以读出片上程序存储器的内容, 用于校验 无论代码保护位如何设置, 前 64 个存储单元和最后一个单元 ( 复位向量 ) 都是可读的 9.11 ID 地址单元 器件中有 4 个存储器单元被指定用作 ID 地址单元, 用户可在其中存放校验和或其他识别代码 正常运行时, 不能访问这些地址单元, 但在编程 / 校验时, 可读写这些地址单元 只使用 ID 地址单元的低 4 位, 其高 8 位应始终设置为 在线串行编程在最终应用电路中, 可对 PIC10F200/202/204/206 单片机进行串行编程 这仅需一根时钟线 一根数据线以及电源 接地和编程电压线 这使得用户可以使用未编程的器件来制造电路板, 在产品发货前才对单片机进行编程 这样可以使用最新版本的固件或定制固件 保持 GP1 和 GP0 引脚为低电平, 同时把 MCLR (VPP) 引脚电平从 VIL 拉高到 VIHH ( 见编程规范 ), 器件将进入编程 / 校验模式 GP1 作为编程时钟线, GP0 作为编程数据线 在此模式下, GP1 和 GP0 均为施密特触发器输入引脚 复位后, 向器件发出一条 6 位长的指令 根据指令, 向器件写入 16 位程序数据, 或者从器件取出 16 位程序数据, 这取决于指令是装载还是读取 串行编程的详细说明, 请参见 PIC10F200/202/204/206 编程规范 典型的在线串行编程连接方式, 如图 9-10 所示 外部连接器信号 +5V 0V VPP CLK 数据 I/O 至正常连接 至正常连接 PIC10F20X VDD VSS MCLR/VPP GP1 GP0 VDD DS41239D_CN 第 50 页 2007 Microchip Technology Inc.

53 10.0 指令集汇总 PIC16 指令集是高度正交的, 并分为以下三种基本指令类型 : 字节操作类指令 位操作类指令 立即数与控制操作类指令每条 PIC16 指令字长 12 位, 由操作码和操作数构成 ; 操作码说明指令类型, 一个或多个操作数进一步说明指令的操作 图 10-1 所示为每种类型指令的格式, 而表 10-1 则给出了各种操作码字段的汇总 对于字节操作类指令, f 是文件寄存器的指示符, 而 d 为目标寄存器的指示符 文件寄存器指示符指定了指令使用哪一个文件寄存器 目标寄存器指示符指定运算结果应存放在何处 如果 d 为 0, 结果将存放在 W 寄存器中 ; 如果 d 为 1, 结果将存放在指令所指定的文件寄存器中 对于位操作类指令, b 是位域指示符, 它选择哪些位受到操作的影响, 而 f 表示该位所在的文件寄存器 对于立即数和控制操作类指令,k 表示一个 8 位或 9 位常数或立即数 表 10-1: 操作码字段说明 字段说明 f 寄存器文件地址 (0x00 至 0x7F) W 工作寄存器 ( 累加器 ) b 8 位文件寄存器中的位号 k 立即数 常数或标号 x 可忽略位 (= 0 或 1) 汇编器生成代码时, 将默认 x = 0 建议使用这种形式, 以便与所有 Microchip 软件工具兼容 d 目标单元选择 d = 0: 结果存放在 W 中, d = 1: 结果存放在文件寄存器 f 中 缺省情况下 : d = 1 label 标号名称 TOS 栈顶 PC 程序计数器 WDT 看门狗定时器计数器 TO 超时标志位 PD 掉电标志位 dest 目标地址, 或者是 W 寄存器, 或者指定的寄存器文件地址 [ ] 可选项 ( ) 内容 赋值给 < > 寄存器位域 属于斜体字用户定义项 (courier 字体 ) 所有指令都将在一个指令周期内得到执行, 除非条件测试结果为 true 或者指令执行结果改变了程序计数器, 在上述特殊情况下, 指令执行时间为两个指令周期 一条指令周期由 4 个振荡周期组成 因此, 当振荡器频率为 4 MHz 时, 一条指令的执行时间通常为 1 µs 如果条件测试结果为 true, 或者程序计数器被指令更改, 则指令执行时间为 2 µs 图 10-1 所示为指令的三种常见格式 图中所有示例均使用如下格式来表示十六进制数 : 0xhhh 其中, h 代表一个十六进制的位 图 10-1: 指令的一般格式针对字节的文件寄存器操作 操作码 d f ( 文件寄存器 ) d = 0, 目标寄存器是 W d = 1, 目标寄存器是 f f = 5 位文件寄存器地址 针对位的文件寄存器操作 操作码 b( 位号 ) f ( 文件寄存器 ) b = 3 位地址 f = 5 位文件寄存器地址 立即数和控制类操作 (GOTO 除外 ) 操作码 k ( 立即数 ) k = 8 位立即数 立即数和控制类操作 GOTO 指令 操作码 k ( 立即数 ) k = 9 位立即数 2007 Microchip Technology Inc. DS41239D_CN 第 51 页

54 表 10-2: 指令集汇总 助记符, 操作数说明周期 ADDWF ANDWF CLRF CLRW COMF DECF DECFSZ INCF INCFSZ IORWF MOVF MOVWF NOP RLF RRF SUBWF SWAPF XORWF BCF BSF BTFSC BTFSS ANDLW CALL CLRWDT GOTO IORLW MOVLW OPTION RETLW SLEEP TRIS XORLW 注 f, d f, d f f, d f, d f, d f, d f, d f, d f, d f f, d f, d f, d f, d f, d f, b f, b f, b f, b k k k k k k f k W 和 f 相加 W 与 f 作逻辑与运算清零 f 清零 W f 取补 f 减 1 f 减 1, 为 0 则跳过 f 加 1 f 加 1, 为 0 则跳过 W 与 f 作逻辑或运算传送 f 将 W 内容传送至 f 空操作 f 循环左移 ( 带进位 ) f 循环右移 ( 带进位 ) f 减 W f 半字节交换 W 与 f 作逻辑异或运算 将 f 中的位 b 清零将 f 中的位 b 置 1 测试 f 中的位 b, 为 0 则跳过测试 f 中的位 b, 为 1 则跳过 (2) 1 1 (2) 针对位的文件寄存器操作 立即数和控制类操作立即数和 W 相加调用子程序清零看门狗定时器无条件跳转立即数与 W 作逻辑或运算将立即数传送至 W 装入 OPTION 寄存器子程序返回, 立即数装入 W 进入待机模式装入 TRIS 寄存器立即数与 W 作逻辑异或运算 (2) 1 (2) MSb k 位操作码 11df 01df 011f df 11df 11df 10df 11df 00df 00df 001f df 00df 10df 10df 10df bbbf bbbf bbbf bbbf kkkk kkkk 0000 kkkk kkkk kkkk 0000 kkkk kkkk LSb ffff ffff ffff 0000 ffff ffff ffff ffff ffff ffff ffff ffff 0000 ffff ffff ffff ffff ffff ffff ffff ffff ffff kkkk kkkk 0100 kkkk kkkk kkkk 0010 kkkk fff kkkk 影响的标志位 C, DC, Z Z Z Z Z Z 无 Z 无 Z Z 无无 C C C, DC, Z 无 Z 无无无无 Z 无 TO, PD 无 Z 无无无 TO, PD 无 Z 注 1, 2, 4 2, 4 4 2, 4 2, 4 2, 4 2, 4 2, 4 2, 4 1, 4 2, 4 2, 4 1, 2, 4 2, 4 2, 4 1: 除 GOTO 外, 任何指令在写程序计数器的时候, 将程序计数器的第 9 位强制清 0 请参见第 4.7 节 程序计数器 2: 当 I/O 寄存器被自身修改时 ( 例如, MOVF PORTB, 1), 使用的是当前该引脚上的值 例如, 配置为输入的某引脚, 其数据锁存值为 1 当被外部器件驱动为低电平时, 则写回的数据值将为 0 3: 指令 TRIS f, 其中 f = 6, 将把 W 寄存器的内容写入 PORTB 的三态锁存器 1 强制引脚进入高阻态, 并禁止输出缓冲器 4: 如果该指令的操作针对 TMR0 寄存器 ( 且, 指令中 d = 1), 当预分频器被分配给 Timer0 模块时, 则将使预分频器清零 2, 4 2, DS41239D_CN 第 52 页 2007 Microchip Technology Inc.

55 ADDWF W 和 f 相加 语法 : [ 标号 ] ADDWF f,d 操作数 : 0 f 31 d [0,1] 操作 影响的状态位 : C, DC, Z 说明 : (W) + (f) (dest) W 寄存器的内容与 f 寄存器的内容相加 如果 d 为 0, 则结果存放在 W 寄存器中 ; 如果 d 为 1, 则结果存回寄存器 f BCF 将 f 中位 b 清零 语法 : [ 标号 ] BCF f,b 操作数 : 0 f 31 0 b 7 操作 0 (f<b>) 影响的状态位 : 无 说明 : 清零寄存器 f 中的位 b ANDLW 立即数与 W 作逻辑与运算 BSF 将 f 中位 b 置 1 语法 : [ 标号 ] ANDLW k 操作数 : 0 k 255 操作 影响的状态位 : 说明 : (W).AND. (k) (W) Z W 寄存器中的内容与 8 位立即数 k 作逻辑与运算 结果存入 W 寄存器 语法 : [ 标号 ] BSF f,b 操作数 : 0 f 31 0 b 7 操作 1 (f<b>) 影响的状态位 : 无 说明 : 将寄存器 f 中的位 b 置 1 ANDWF W 与 f 作逻辑与运算 BTFSC 测试 f 中的位 b, 为 0 则跳过 语法 : [ 标号 ] ANDWF f,d 操作数 : 0 f 31 d [0,1] 操作 影响的状态位 : Z 说明 : (W).AND. (f) (dest) W 寄存器内容和 f 寄存器内容作逻辑与运算 如果 d 为 0, 结果存放在 W 寄存器中 ; 如果 d 为 1, 结果存回寄存器 f 语法 : [ 标号 ] BTFSC f,b 操作数 : 0 f 31 0 b 7 操作如果 (f<b>)= 0, 则跳过影响的状态位 : 无说明 : 如果寄存器 f 中的位 b 为 0, 则跳过下一条指令 如果位 b 为 0, 那么在当前指令执行期间所取的下一条指令将被丢弃, 代之执行的是一条 NOP 指令, 这样指令变成双周期指令 2007 Microchip Technology Inc. DS41239D_CN 第 53 页

56 BTFSS 语法 : 操作数 : 0 f 31 0 b < 7 操作 影响的状态位 : 说明 : 测试 f 中的位 b, 为 1 则跳过 [ 标号 ] BTFSS f,b 如果 (f<b>) = 1, 则跳过 无如果寄存器 f 中的位 b 为 1, 则跳过下一条指令 如果位 b 为 1, 那么当前指令执行期间取出的下一条指令将被放弃, 代之执行的是一条 NOP 指令 这样指令变成双周期指令 CLRW 语法 : 操作数 : 操作影响的状态位 : 说明 : 清零 W [ 标号 ] CLRW 无 00h (W) 1 Z Z 将 W 寄存器清零 零标志位 (Z) 置 1 CALL 调用子程序语法 : [ 标号 ] CALL k 操作数 : 0 k 255 操作 (PC) + 1 栈顶 k PC<7:0> (STATUS<6:5>) PC<10:9> 0 PC<8> 影响的状态位 : 说明 : 无调用子程序 首先, 返回地址 (PC+1) 被压入堆栈 8 位立即数地址被装入 PC 中的 Bit<7:0>, STATUS<6:5> 的内容装入 PC<10:9>,PC<8> 被清零 CALL 是双周期指令 CLRWDT 语法 : 操作数 : 操作影响的状态位 : 说明 : 清零看门狗定时器 [ 标号 ] CLRWDT 无 00h WDT 0 WDT 预分频器 ( 如果已分配 ) 1 TO 1 PD TO 和 PD CLRWDT 指令复位 WDT, 如果预分频器被分配给 WDT 而不是 Timer0 的话, 还将同时复位预分频器 状态位 TO 和 PD 都将被置 1 CLRF 清零 f 语法 : [ 标号 ] CLRF f 操作数 : 0 f 31 操作 00h (f); 1 Z 影响的状态位 : Z 说明 : 寄存器 f 的内容被清零, Z 状态位 置 1 COMF f 取补 语法 : [ 标号 ] COMF f,d 操作数 : 0 f 31 d [0,1] 操作 (f) (dest) 影响的状态位 : Z 说明 : 对寄存器 f 的内容取补 如果 d 为 0, 结果存放在 W 中 ; 如果 d 为 1, 结果存回寄存器 f DS41239D_CN 第 54 页 2007 Microchip Technology Inc.

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

PIC24 MSSP FRM Rev A.book

PIC24 MSSP FRM Rev A.book 58 MSSP 58.1... 58-2 58.2... 58-2 58.3... 58-12 58.4 SPI... 58-13 58.5 I2C... 58-22 58.6 /... 58-58 58.7... 58-58 58.8... 58-59 58.9... 58-60 58.10... 58-61 58 MSSP 2012 Microchip Technology Inc. DS30627A_CN

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

41213C.book

41213C.book 数据手册 8 位 CMOS 闪存 单片机系列 2006 Microchip Technology Inc. DS41213C_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前,

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

untitled

untitled MCP3421 SOT23-6 DS51793A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel 3.2.3 MPASM PIC 35 35 #include include #include MPASM include PIC MPLAB C:\Program Files\MPLAB IDE\MCHIP_Tools PIC.inc 3-01 #include ; PIC16F877A #include math.asm ; 3-01 MPASM MPLAB

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

untitled

untitled PICkit MCP3422 DS51781A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

00975A.book

00975A.book 使用 MSSP 模块进行 Microwire 串行 EEPROM 与 PIC16 器件的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点,

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

01020B.book

01020B.book 使用 MSSP 进行 Microwire 串行 EEPROM 与 PIC18 器件的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 当前市场上有许多不同的单片机用于嵌入式控制应用领域 许多这种嵌入式控制系统都需要非易失性存储器 由于外形小巧 提供字节级灵活性 I/O 引脚要求低 低功耗和低成本等特点, 串行 EEPROM 成为非易失性存储器的流行选择

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

33023A.book(31027A_cn.fm)

33023A.book(31027A_cn.fm) 第 27 章器件配置位 目录 本章包括以下一些主要内容 : 27.1 简介... 27-2 27.2 配置字位... 27-4 27.3 编程校验 / 代码保护... 27-8 27.4 识别码 ID 的位置... 27-9 27.5 设计技巧... 27-10 27.6 相关应用笔记... 27-11 27.7 版本历史... 27-12 27 器件配置位 2004 Microchip Technology

More information

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到特定引脚 ( 例如 : 引脚 RC5 上的 PWM1 输出 ) PPS 允许开发人员从大量输出引脚中进行选择, 这提供了以下优势

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D F736F F F696E74202D B5A5C6ACBBFAD3EBB5E7D7D3CFB5CDB3C9E8BCC62E707074>

<4D F736F F F696E74202D B5A5C6ACBBFAD3EBB5E7D7D3CFB5CDB3C9E8BCC62E707074> 一. 高性能的 RISC 结构 CPU 1. 哈佛双总线结构程序总线和数据总线独立, 避免了瓶颈现象. 2. RISC 指令集 ( 精简指令集 ) 具有指令 33/35/58 条, 因此易学易用. 3. 指令周期大部分为单周期指令 ( 分支指令除外 ). 4. 执行速度大部分指令周期在 (200ns-1us) PIC17XX 是目前执行速度最快的 8 位单片机 5. 多级硬件堆栈可为 2 8 16

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

701PIC Introduction to PICMicro MCUs

701PIC Introduction to PICMicro MCUs 701 PIC PICmicro MCU 介绍 PIC16 系列产品的汇编编程 HANDS-ON Microchip Technology Incorporated. All Rights Reserved. 701 PIC PIC MCU - Introduction to Programming 1 日程 讲述部分 讲述 90 分钟 休息 20 分钟 实验部分 1 2 个实验 60 分钟 休息

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

PIC单片机16F84的内部硬件资源(一)

PIC单片机16F84的内部硬件资源(一) PIC 单片机 16F84 的内部硬件资源 ( 一 ) 我们已经知道要单片机工作, 就需用汇编语言编制程序 而对某个 PIC 单片机编程时, 还需对选用的 PIC 单片机内部硬件资源有所了解 这里介绍 PIC16F84 单片机的内部结构, 如图 1 所示的框图 由图 1 看出, 其基本组成可分为四个主要部分, 即运算器 ALU 和工作寄存器 W; 程序存储器 ; 数据存储器和输入 / 输出 (I/O)

More information

PIC8位单片机汇编语言常用指令的识读(上)

PIC8位单片机汇编语言常用指令的识读(上) PIC8 位单片机汇编语言常用指令的识读 ( 上 ) 各大类单片机的指令系统是没有通用性的, 它是由单片机生产厂家规定的, 所以用户必须遵循厂家规定的标准, 才能达到应用单片机的目的 PIC 8 位单片机共有三个级别, 有相对应的指令集 基本级 PIC 系列芯片共有指令 33 条, 每条指令是 12 位字长 ; 中级 PIC 系列芯片共有指令 35 条, 每条指令是 14 位字长 ; 高级 PIC

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL ;************************************************ ; Null Clock REV:1.0 by Jed ; www.xuan.idv.tw ;************************************************ INCLUDE P16F84A.INC LIST P=16F84A,R=Dec CONFIG _XT_OSC&_WDT_OFF

More information

PIC24 intro.fm

PIC24 intro.fm 1 第 1 章简介 简介 目录 本章包括下列主题 : 1.1 简介... 1-2 1.2 手册目标... 1-2 1.3 器件结构... 1-2 1.4 开发支持... 1-4 1.5 样式和符号约定... 1-4 1.6 相关文档... 1-6 1.7 版本历史... 1-7 2008 Microchip Technology Inc. 超前信息 DS39718A_CN 第 1-1 页 PIC24F

More information

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR)

第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介 复位时的时钟源选择 上电复位 (POR) MCLR 复位 软件 RESET 指令 (SWR) 第 40 章包括可编程欠压复位在内的复位机制 目录 本章包括下列主题 : 40.1 简介... 40-2 40.2 复位时的时钟源选择... 40-5 40.3 上电复位 (POR)... 40-5 40.4 MCLR 复位... 40-7 40.5 软件 RESET 指令 (SWR)... 40-7 40.6 看门狗定时器复位 (WDTR)... 40-7 40.7 欠压复位 (BOR)... 40-8

More information

70046d_cn.book(70055c_cn.fm)

70046d_cn.book(70055c_cn.fm) 第 8 章复位 目录 本章包括下列主题 : 8.1 简介...8-2 8.2 复位时的时钟源选择... 8-5 8.3 POR: 上电复位... 8-5 8.4 外部复位 (EXTR)... 8-7 8.5 软件复位指令 (SWR)... 8-7 8.6 看门狗超时复位 (WDTR)... 8-7 8.7 欠压复位 (BOR)... 8-8 8.8 使用 RCON 状态位... 8-10 8.9 器件复位时间...

More information

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit 采用 XLP 技术的全功能低引脚数单片机产品简介 说明 : 单片机具有模拟 内核独立外设以及通信外设特性, 并结合了超低功耗 (extreme Low Power,XLP) 技术, 适用于一系列广泛的通用和低功耗应用 外设引脚选择 (Peripheral Pin Select, PPS) 功能可以在使用数字外设 ( 和通信 ) 时进行引脚映射, 以增加应用设计的灵活性 内核特性 : 优化的 C 编译器

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

标题

标题 本章学习目标 PIC16F87X 单片机与其他单片机相比具有一些独特的优点, 采用这些芯片构成的应用开发系统, 不仅可以提供最高的系统可靠性, 而且还能最大限度地减少外围器件, 以降低系统成本和功耗 掌握 PIC16F87X 单片机多功能的配置方式, 对于从事单片机应用开发工程人员来说, 是非常必要的, 同时也为其提供了广阔的选择空间 PIC16F87X 单片机的配置方式包括以下内容 : (1) 振荡器选择

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

33023A.book(31031A_cn.fm)

33023A.book(31031A_cn.fm) 31 第 31 章器件特性 器件特性 目录 本章包括以下一些主要内容 : 31.1 简介... 31-2 31.2 特性和电气规范... 31-2 31.3 DC 和 AC 特性图表... 31-2 31.4 版本历史... 31-22 24 Microchip Technology Inc. DS3131A_CN 第 31-1 页 PICmicro 中档单片机系列 31.1 简介 Microchip

More information

01096B.book

01096B.book 使用 C30 编译器进行 SPI 串行 EEPROM 与 dspic33f 和 PIC24F 的接口设计 AN1096 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. Microchip 的 25XXX 系列串行 EEPROM 均与 SPI 兼容, 其最大时钟频率在 3 MHz 到 20 MHz 的范围内 许多时候, 当设计利用串行 EEPROM

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Real-Time Clock and Calendar (RTCC)

Real-Time Clock and Calendar (RTCC) 第 7 章复位 目录 本章包括下列主题 : 7 7.1 简介...7-2 7.2 复位时的时钟源选择... 7-4 7.3 上电复位 (POR)... 7-4 7.4 MCLR 复位... 7-6 7.5 软件 RESET 指令 (SWR)... 7-6 7.6 看门狗超时复位 (WDTR)... 7-6 7.7 欠压复位 (BOR)... 7-7 7.8 配置不匹配复位... 7-7 7.9 陷阱冲突复位...

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

Section1_16bit Arc.ppt

Section1_16bit Arc.ppt 16-bit Elite Program 2009 Summer Section-1 Microchip 16-bit 2005 Microchip Technology Incorporated. All Rights Reserved. Slide 1 MCU CPU (NOP),, (Data Memory), I/O CPU w. ALU/Working Reg. Data Mem. Data

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

Microsoft PowerPoint - 701_PIC-CHN_Attendee.ppt

Microsoft PowerPoint - 701_PIC-CHN_Attendee.ppt 701 PIC PICmicro MCU 介绍 PIC16 系列产品的汇编编程 HANDS-ON 日程 讲述部分 讲述 90 分钟 休息 20 分钟 实验部分 1 2 个实验 60 分钟 休息 60 分钟 实验部分 2 10 4 个实验 2 小时 休息 20 分钟 讲述部分的内容 架构 存储器 指令集 开发软件 (MPLAB IDE) 实验和演示部分的内容 Labs 在 MPLAB IDE 创建项目

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

01236B.book

01236B.book 使用 C3 和定时器连接 dspic33 DSC 和 PIC24 MCU 与兼容 UNI/O 总线的串行 EEPROM 作者 : 简介 Martin Kvasnicka Microchip Technology Inc. 随着嵌入式系统小型化的趋势, 市场对于减少器件间通信所用 I/O 引脚数的需求也与日俱增 Microchip 开发的 UNI/O 总线正满足了这一需求, 这一个低成本且易于实现的解决方案,

More information

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上 PIC 单片机的窗口看门狗定时器 TB3123 作者 : Ashutosh Tiwari Microchip Technology Inc. 简介 窗口看门狗定时器 (Windowed Watchdog Timer, WWDT) 是 PIC 单片机的增强型看门狗定时器 该定时器是 PIC 单片机现有看门狗定时器 (Watchdog Timer, WDT) 的扩展 Microchip 的 WDT 具有可配置的时间阈值上限和固定的时间阈值下限

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

93002A.book

93002A.book 使用 QEI 模块测量速度和位置 GS002 作者 : 引言 本文档给出了电机控制系列 dspic30f 数字信号控制器中正交编码器 (Quadrature Encoder Interface, QEI) 模块的概述 还提供了一个需要测量转子速度和位置的典型电机控制应用的代码示例 QEI 模块 Jorge Zambada Microchip Technology Inc. 正交编码器 ( 又称增量式编码器或光电式编码器

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

PIC18 Flash to PIC18 J-Flash Migration

PIC18 Flash to PIC18 J-Flash Migration PIC18F 到 PIC18FXXJ 闪存器件的移植 器件移植 本文档旨在描述从一个器件移植到另一个器件时涉及到的功能差异和电气规范差异 注 : 注 : 简介 器件是按照其数据手册中的参数设计的 已参照电气规范对其进行了测试以判断其与这些参数的符合程度 由于制造工艺的不同, 这类器件的性能特性可能与其早期版本不同 这些差异可能导致此类器件在应用中的执行情况会与以前不同 用户应该验证器件振荡器的起振和运行是否与预期一致

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information



 8 位微控制器 ET18F11/11 数据手册 深圳市鹏锦科技有限公司 联系电话 :07828600 手机 :1820771884 传真 :07212178 联系人 : 何颖 QQ:6700001 深圳市福田区振华路家乐大厦 4 楼 B 公司网址 :www.pj212178.com 产品订购信息 型号 FLS RM 封装 ET18F11 1KX1 64B SOIC14 目录 1 概述...4 2 芯片特征...4

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

MPLAB ICE PROMATE II MPLAB MPLAB ICE 2 3 Microchip MPLAB ICE ICSP PROMATE II AC44 MPLAB ICE MICROCHIP 2 Socket Module 3 4 4 (Transition Socket) SOIC SSOP PQFP TQFP PRO MATEII PRO MATEII DV73 PC ICD ICD2

More information

OTP-Based 8-Bit Microcontroller Series

OTP-Based 8-Bit Microcontroller Series 苏州锋驰微电子有限公司 OTP-Based 8-Bit Microcontroller Series FC162 SZFC 2017/5/26 功能特色 : 苏州锋驰微电子有限公司 SUZHOU FENGCHI ELECTRONIC CO.:LTD *PB3 口软件可控上拉,PB3 可做标准 IO 口 *4 级硬件控制端口强弱驱动电流 修正记录 版本号日期内容 V10 V20 2016 年 6 月

More information

第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器 看门狗定时器工作原理 DMT 工作原理 中断和复位产生

第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器 看门狗定时器工作原理 DMT 工作原理 中断和复位产生 第 9 章看门狗定时器 程序监控定时器和上电延时定时器 目录 本章包括下列主题 : 9.1 简介... 9-2 9.2 看门狗定时器 程序监控定时器和上电延时定时器控制寄存器... 9-4 9.3 看门狗定时器工作原理... 9-12 9.4 DMT 工作原理... 9-16 9.5 中断和复位产生... 9-18 9.6 I/O 引脚... 9-21 9.7 调试和节能模式下的操作... 9-21

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

41206A.book

41206A.book 数据手册 带 A/D 转换器和 增强型捕捉 / 比较 /PWM 的 8 位闪存单片机 2004 Microchip Technology Inc. 初稿 DS41206A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information