OFDM FPGA

Size: px
Start display at page:

Download "OFDM FPGA"

Transcription

1 OFDM FPGA Implementing Baseband Data Processing Section on FPGA of an OFDM-based Communication System

2 OFDM FPGA

3 1 OFDM 2 VHDL FPGA 3 OFDM OFDM 2 OFDM

4 1 2 3 OFDM Shinsuke Hara and Ramjee Prased, MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS, MA: Artech House,

5 1 OFDM OFDM DAB HDTV Wireless LAN i.e. IEEE OFDM 3G [1] OFDM OFDM OFDM CDMA OFDM OFDM OFDM OFDM [2] 2 SBH OFDM OFDM 1

6 OFDM OFDM OFDM OFDM FFT 1 OFDM OFDM 1 OFDM FFT FFT DSP FPGA [3] FFT FPGA FFT VHDL IP ALTERA FFT IP FFT ALTERA IP 2

7 FFT VHDL FFT 8 FFT 2.2 OFDM OFDM FFT 2.3 QuartusII OFDM Modem OFDM D/A D/ A OFDM A/D 2.4 PCB PCB PCB PCB 2.5 Windows [4] 3

8 1 SBH OFDM MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS FFT ALTERA IP Core Core IFFT+FFT ALTERA 2 ALTERA FPGA Cyclone FPGA Quartus II ALTERA Quartus II 5.1sp1 [5] IP Core ALTERA ALTERA IP Core License [5] ALTERA IP MATLAB MATLAB OFDM 4

9 OFDM OFDM OFDM [6] OFDM 1 OFDM 1.1 OFDM FFT VHDL Quartus II 1.2 A/D D/A A/D D/A 1.3 PCB OFDM 2.2 OFDM 2.3 OFDM 2.4 MATLAB MATLAB OFDM 2.5 5

10 [1] Shinsuke Hara and Ramjee Prased, MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS, MA: Artech House, [2] [3] DSP 2003 [4] Visual C++/Turbo C 2004 [5] [6] OFDM OFDM FFT A/D D/A A/D D/A PCB 2 OFDM A/D D/A 3 OFDM A/D D/A 6

11 OFDM FFT A/D D/A A/D D/A PCB 7

12 OFDM FPGA

13 OFDM FPGA

14 OFDM FPGA

15 OFDM Orthogonal Frequency Division Multiplexing IEEE a WLAN FPGA OFDM Reed-Solomon FFT OFDM IEEE a Simulink OFDM ALTERA IP Core OFDM, FPGA, ALTERA I

16 Abstract Because of wireless environment where multipath maybe significant, Orthogonal Frequency Division Multiplexing (OFDM), a special form of multicarrier modulation (MCM), where a single data stream is transmitted over a number of lower rate subcarriers has recently received considerable attention for its robustness to multipath selective fading and high bandwidth efficiency. It can be seen as either a modulation technique or a multiplexing technique. The main work of my graduate design is to implement baseband data processing section on FPGA of an OFDM-based communication system. It contains Reed-Solomon channel coding (FEC), interleaver, constellation, FFT and Prefix Cyclic parts. In addition, I also pay much attention to other aspects during the design. That is, the study of OFDM, IEEE a Standard, a demo model of OFDM based on Simulink, devices and IP Megacore of ALTERA corp., which are detailed in my paper. Key words: OFDM, FPGA, ALTERA II

17 .. I...II.1 OFDM OFDM OFDM OFDM FFT OFDM OFDM OFDM OFDM FFT OFDM III

18 802.11a a WLAN IEEE a a PLCP PPDU Preamble G OFDM Simulink a Demo Demo FPGA ALTERA FPGA FPGA FPGA DSP FPGA ASIC FPGA ALTERA IV

19 OpenCore plus ALTERA ALTERA FFT MegaCore FFT MegaCore FFT MegaCore FFT MegaCore IP Toolbench FFT MegaCore FFT MegaCore OpenCore plus license FFT Transform Length I/O Data Flow 44 V

20 4.3.5 FFT Engine Architecture RAM FFT MegaCore FFT FFT MegaCore MATLAB OFDM IP HDL R-S R-S encoder VI

21 5.3.8 IFFT FFT VHDL FPGA VHDL VII

22 OFDM OFDM OFDM OFDM FPGA OFDM 1

23 OFDM OFDM OFDM OFDM FPGA OFDM IEEE a WLAN OFDM a OFDM a FPGA OFDM DSP FPGA FPGA ALTERA FPGA ALTERA ALTERA FFT MegaCore IP OFDM FFT IP ALTERA Dylan IP Dylan 2

24 VHDL OFDM 2007 OFDM 1 OFDM 2 IEEE a Simulink 3 ALTERA IP 4 VHDL OFDM

25 OFDM OFDM OFDM OFDM 1.1 4

26 ISP 0 1 ADSL 56Kbps 5

27 1.2 OFDM [1,2] [3] 1.1 6

28 ISI /100 OFDM 7

29 [4] FDM 1.3 OFDM 8

30 1.4 OFDM FDM FDM OFDM OFDM OFDM OFDM, Orthogonal Frequency Division Multiplexing OFDM OFDM OFDM OFDM OFDM 9

31 1.3 OFDM FFT OFDM OFDM OFDM OFDM FFT DSP FPGA OFDM OFDM DFT FFT DFT OFDM FFT OFDM [5] OFDM 1990 OFDM DAB DVB-T DSL HDTV HIPERLAN IEEE OFDM a OFDM OFDM Muticarrier techniques for 4G Mobile Communications OFDM [1] OFDM 10

32 OFDM [6] OFDM 1.4 OFDM OFDM OFDM OFDM 1.5 OFDM FFT OFDM FFT FFT BPSK QPSK QAM QPSK 11

33 1.6 OFDM i FFT FFT IFFT FFT FFT 64 FFT FFT FFT 64 12

34 64 FFT OFDM OFDM OFDM n n ISI OFDM FDM OFDM OFDM OFDM OFDM OFDM OFDM 13

35 OFDM OFDM 1.8 OFDM D/A OFDM y=f(x) x f y OFDM OFDM OFDM FFT OFDM OFDM OFDM OFDM OFDM 14

36 1.5 OFDM OFDM OFDM OFDM OFDM [1] Shinsuke Hara and Ramjee Prased, MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS, MA: Artech House, [2] Gordon L. Stüber, Principles of Mobile Communication, Second Edition,,,,, [3] Web ProForum Tutorials, OFDM for Mobile Data Communications, The International Engineering Consortium, [4] John G. Proakis, Masoud Salehi, Gerhard Bauch, Contemporary Communication Systems Using MATLAB and Simulink, Second Edition,,,

37 [5] OFDM [6] Steven J. Vaughan-Nichols, OFDM: Old Technology for New Markets, Tutorial of Wi-Fi Planet, November 14,

38 802.11a IEEE WLAN a OFDM a OFDM Mathworks Simulink a Demo a WLAN IEEE WLAN Internet WLAN WLAN WLAN a b g a OFDM M 17

39 2Mbps a 5GHz 54Mbps WLAN 54Mbps IEEE IEEE IEEE Get IEEE 802 [1] 802 pdf sponsor Get IEEE WLAN Get IEEE a a-1999.pdf[2] [3] a a WLAN a

40 a a PMD Physical medium dependent PLCP Physical layer convergence procedure PLME PHY layer Management Entity OSI [3] PMD WLAN OFDM PLCP PLCP OFDM OFDM PPDU PLCP protocol Data Unit MAC PLME 19

41 2.2.2 PLCP PPDU a PLCP PPDU PPDU PPDU 2.2 PPDU [2] PSDU Physical sublayer Service Data Unit PMD PLCP Header Tail Pad Bits 12 PLCP Preamble PPDU PPDU a a n [2] Preamble 2.3 PPDU [2] PPDU 12 Preamble 20

42 G OFDM a a (annex) Annex G OFDM OFDM Annex G OFDM OFDM 2.3 Simulink a Demo Demo MATLAB Simulink Simulink OFDM MATLAB7.0 Commuication Blockset 21

43 OFDM Demo DVB ADSL HIPERLAN/ a WLAN 2.4 MATLAB Mathworks [4] a MAC/PHY PLCP header Data Scrambler OFDM [5] Simulink a 22

44 OFDM a Simulink Demo Simulink OFDM 2.6 Simulink 23

45 Mbps 64QAM QPSK a 24

46 OFDM IEEE a WLAN Simulink OFDM OFDM OFDM OFDM OFDM 25

47 802.11a a Simulink a Simulink OFDM [1] [2] IEEE Std a 1999 Edition (R2003), Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications: High-speed Physical Layer Extension in the 5-GHz Band, IEEE, [3] IEEE Std Edition (R2003), Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications, IEEE, [4] [5] Demonstration Models, IEEE a WLAN Physical Layer, Communication Blockset, The Mathsworks, Inc. 26

48 FPGA ALTERA OFDM FPGA OFDM FPGA FPGA FPGA OFDM ALTERA Xilinx FPGA ALTERA ALTERA 3.1 FPGA PLD PLD PLD PLD VHDL Verilog HDL HDL PLD CPLD FPGA PLD PLD CPLD FPGA 27

49 OFDM ALTERA FPGA FPGA PLD FPGA FPGA LE LE LE FPGA LE nm LE ALTERA Stratix GX LE 4 [1] LE FPGA HDL LE FPGA FPGA Stratix GX 300MHz 6Gbps [1] FPGA DSP FPGA FPGA DSP DSP 28

50 FPGA DSP OFDM FFT 8 FFT FFT [2] DSP FFT FPGA DSP FFT 1024 DSP [3] FPGA FPGA ALTERA NIOS FPGA DSP FPGA DSP NIOS 32 ALTERA FPGA 29

51 SOPC PLD ALTERA C HDL C ALTERA [4] FPGA ASIC ASIC ASIC ASIC FPGA ALTERA HardCopy FPGA HardCopy FPGA ASIC FPGA ASIC ASIC ASIC FPGA ASIC ASIC FPGA HardCopy FPGA FPGA FPGA FPGA FPGA DSP 30

52 NIOS CPU ARM FPGA FPGA FPGA LE FPGA FPGA PLL RAM FPGA 3.2 ALTERA ALTERA OFDM FPGA VHDL ALTERA Verilog Xilinx ALTERA ALTERA ALTERA 31

53 ALTERA ALTERA ALTERA ALTERA ALTERA FPGA Maxplus Quartus license HDL FPGA ALTERA ALTERA ALTERA FPGA OpenCore plus IP Intellectual Property ALTERA IP OpenCore plus IP 32

54 OpenCore plus IP ALTERA IP RTL IP OpenCore plus IP FPGA OpenCore plus JTAG IP ALTERA IP ALTERA ALTERA ALTERA MySupport ALTERA ALTERA MySupport ALTERA 33

55 3.3 ALTERA ALTERA FPGA ALTERA Stratix Cyclone FPGA CPLD MAX II CPLD FPGA Flash FPGA [5] MAX II FPGA Quartus II 6.0 Quartus Quartus ModelSim OEM ModelSim ALTERA Quartus SignalTap Quartus II 6.0 TimeQuest FPGA DSP Builder ALTERA DSP Simulink Blockset MATLAB Simulink DSP Builder HDL ALTERA IP FPGA HardCopy NIOS SOPC ALTERA 34

56 3.4 FPGA FPGA OFDM ALTERA OFDM ALTERA FFT MegaCore [1] Data Sheet, Stratix GX FPGA Family, version 2.2, ALTERA corp., [2] 2004 [3] DSP 2003 [4] [5] Handbook, MAX II Device Handbook, preliminary, ALTERA corp. 35

57 ALTERA FFT MegaCore DFT FFT DFT FPGA IP Intellectual Property FFT FFT IP ALTERA FFT MegaCore IP ALTERA FFT MegaCore User Guide[1] FFT MegaCore 4.1 FFT MegaCore ALTERA IP MegaCore FFT MegaCore FFT/IFFT IP FFT MegaCore ALTERA FPGA Cyclone Stratix HardCopy ALTERA IP FPGA FFT ALTERA IP FPGA ALTERA FFT MegaCore 300M FFT MegaCore

58 4.2 FFT MegaCore FFT MegaCore Quartus FFT FFT MegaCore ALTERA DSP IP v FFT MegaCore FFT MegaCore FFT MegaCore IP Toolbench FFT MegaCore Tool MegaWizard Plug-In Manager 4.1 MegaWizard Plug-In Manager Megafunction IP 37

59 FFT FPGA IP Cyclone VHDL FFTcore 4.2 FFT MegaCore IP Toolbench IP Toolbench IP Toolbench 38

60 4.3 IP Toolbench Transform Length FFT FFT MegaCore Set up simulation Generation IP 39

61 IP Toolbench IP IP FFT MegaCore FFT MegaCore (bdf) FFT IP Project HDL VHDL.cmp FFT component FFT FFT input output 4.4 bdf FFT P4 1.4G 256M 15 40

62 4.5 FFT MegaCore MATLAB OpenCore plus IP IP ALTERA OpenCore plus JTAG IP IP license ALTERA IP IP ALTERA license 41

63 FFT MegaCore 4.3 FFT MegaCore Parameters Architecture Implementation Options 1024 FFT 64 FFT 1000 LE RAM FFT 4.6 FFT FFT FPGA FFT FFT 42

64 4.3.2 FFT Transform Length FFT ALTERA FFT MegaCore FFT FFT 2 64 FFT ALTERA 4 FFT FFT 16bits 16bits ALTERA FFT MegaCore FPGA FFT FFT FFT FFT MegaCore FFT IFFT 43

65 16bits FFT+IFFT 8bits FFT+IFFT ALTERA Data Precision Twiddle Precision precision width I/O Data Flow Architecture I/O Data Flow Engine Option FFT MegaCore I/O Data Flow Streaming Buffer Burst Burst FFT FFT FFT FFT RAM FFT FFT FFT RAM I/O Data Flow Streaming Buffer Burst Burst Streaming RAM Buffer Burst Burst RAM 44

66 Burst Buffer Burst RAM RAM Burst RAM FFT Engine Architecture FFT FFT Engine Quad Single 4.7 Quad Output[1] 45

67 4.8 Single Output[1] Quad Output Single Output FFT Implementation Option / / FFT MegaCore v2.2.0 [2] Cyclone II FPGA / DSP Block LE RAM RAM ALTERA FPGA M-RAM M4K M512 RAM 46

68 RAM LE 4.4 FFT MegaCore FFT FFT Core clk reset FFT MegaCore 4.5 ALTERA Atlantic [3] Master_sink_dav Master_sink_dav 1 IP Master_sink_dav 1 Master_sink_sop 64 FFT 64 master_sink_sop 1 Inv_I FFT IFFT 0 1 FFT IFFT master_sink_sop FFT FFT IFFT Master_source_ena master_source_sop master_source_eop master_source_sop 47

69 master_source_eop master_source_ena 1 Master_sink_ena FFT master_sink_ena Master_source_dav 1 FFT 0 Streaming IFFT inv_i master_sink_dav master_source_dav 1 master_sink_ena 1 master_sink_sop FFT 4.5 FFT FFT MegaCore FFT FFT MegaCore FFT FFT FFT MegaCore 48

70 FFT MegaCore ALTERA [4] 4.9 [4] IFFT N N 1/N 4.6 FFT MegaCore MATLAB FFT MegaCore Quartus 49

71 MATLAB IP Core FPGA name_model.m MATLAB name FFT.m MATLAB % function[y, exp_out] = name_model(x,n,inverse) x N FFT INVERSE 1 IFFT 0 FFT y exp_out X y exp_out MATLAB FFT MATLAB test.m % FFT MegaCore clc; clear; % a,b a = rand(1, 64); a = a * 100; a = int8(a); % b = rand(1, 64); b = b * 100; 50

72 b = int8(b); % % x = double(complex(a,b)); % FFT+IFFT [y, exp1] = name_model(x, 64, 0); [z, exp2] = name_model(y, 64, 1); % exp1 = exp1(1,1); exp2 = exp2(1,1); exp = 2.^(abs(exp1+exp2)-6); z = z * exp; % result = [x; z] MATLAB FFT+IFFT 16bits FFT IFFT OFDM IFFT+FFT 51

73 4.7 ALTERA FFT MegaCore FFT OFDM FFT MegaCore OFDM [1] User Guide, FFT MegaCore Function User Guide, ALTERA corp., [2] Errata Sheet, FFT MegaCore Function, ALTERA corp., [3] Functional Specification 13, Atlantic Interface, v3.0, ALTERA corp., [4] Application Note 404, FFT/IFFT Block Floating Point Scaling, v1.0, ALTERA corp.,

74 OFDM OFDM FPGA VHDL 18 FFT OFDM a OFDM OFDM OFDM 5.1 FPGA IP FPGA IP IP Intellectual Property IP 53

75 IP IP OFDM IP Reed-Solomen FFT/IFFT ALTERA MegaCore IP IP FFT IP FFT HDL FFT IP ALTERA ALTERA MegaCore FFT/IFFT $7995 R-S encoder $1995 R-S decoder $7995 Serial Low-speed Viterbi Decoder $9995 FIR compiler $2995 NCO compiler $2495 IP IP IP IP IP FPGA FPGA 9000 LE 54

76 8000 LE FPGA LE A 9000 LE 1000 LE B 8500 LE 500 LE LE 500 LE ALTERA FPGA LE 9000 LE FPGA 8500 LE FPGA HDL 100 LE LE HDL FPGA HDL HDL 55

77 OFDM FFT OFDM

78 5.2 VHDL IP NCO FIR IP FEC R-S Viterbi DVB a Turbo R-S Viterbi ALTERA MegaCore I Q DAC 57

79 IP IP ALTERA [1] a FEC Viterbi MegaCore R-S 58

80 ALTERA IP Atlantic [2] Atlantic Interface master slave sink source source_ena sink_ena Source_ena 1 0 Sink_ena 1 0 source_ena 59

81 5.4 sink_ena sink_ena source_ena sink_sop sink_eop sink_val source_sop source_eop source_val sop eop val OFDM OFDM FIFO FIFO 60

82 FIFO R-S Reed-Solomon R-S R-S d t L = d+t t t/

83 [3] OFDM R-S ALTERA MegaCore 6 word word 4 bit 6 word R-S [3] OFDM R-S MegaCore R-S encoder R-S encoder 36 word R-S RAM RAM 62

84 (symbol)6 word word 4bit RAM 36*4bit RAM s1w1 s1w2 s1w3 s1w4 s1w5 s1w6 s2w1 s2w2 s6w5 s6w6 s1w1 s2w1 s3w1 s4w1 s5w1 s6w1 s1w2 s2w2 s5w6 s6w6 5.6 [4] 6* words 64 IFFT OFDM 63

85 5.3.7 OFDM FFT OFDM QPSK 8PSK 16QAM 64QAM 16QAM 16 QPSK 8PSK 64QAM word 4 bit QAM QAM i 64

86 4bit 10bit 10bit FFT 4bit 10bit IFFT FFT FFT OFDM FFT OFDM 64 FFT FFT FFT Scaler FFT+IFFT 8 Zero Remover R-S 28 FIFO 65

87 VHDL VHDL VHDL VHDL Quartus 9000 LE bits RAM 66

88 ALTERA FPGA FPGA FPGA 67

89 EP1C12Q240C8 16M 4bits 5.5 OFDM Viterbi A/D D/A FIR [1] White Paper, Implementing OFDM Using Altera Intellectual Property, v1.0, ALTERA corp., [2] Functional Specification 13, Atlantic Interface, v3.0, ALTERA corp., [3] User Guide, Reed-Solomon Complier User Guide, v4.0.1, ALTERA corp.,

90 [4] User Guide, Symbol Interleaver/Deinterleaver MegaCore Function User Guide, v1.3, ALTERA corp.,

91 VHDL -- ================================================================ -- File: Interleaver.vhd -- Version: v Author: olivercamel -- Date: Description: -- This vhdl programme is to generate a Interleaver which works together with R-S -- encoder/decoder to mitigate the effects of noise in communications system. -- Actually, interleaver is a simple RAM controller that writes datas into or reads -- datas outside following specific orders. For example, symbols we used in this -- project are comprised by 6 words. Throughput of the interleaver is 6 symbols. -- And then, the input sequence is s1w1,s1w2,s1w3,s1w4,s1w5,s1w6,s2w1,s2w2,..., -- s6w5,s6w6. The output sequence is s1w1,s2w1,s3w1,s4w1,s5w1,s6w1,s1w2,s2w2,..., -- s5w6,w6w6. A 4bit * 64words RAM named ram_interleaver is required in the codes. -- Revision History: -- v1.1, , deassert sink_ena earlier to avoid a control bug. -- ================================================================ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- ================================================================ entity Interleaver is port ( -- clock input clk: in std_logic; -- asynchroism clear input aclr: in std_logic; -- 4bit width Data ports inputdata: in std_logic_vector (3 downto 0); outputdata: out std_logic_vector (3 downto 0); -- simple ALTERA Atlantic interface ports 70

92 sink_val: in std_logic; sink_sop: in std_logic; sink_eop: in std_logic; sink_ena: out std_logic; source_val: out std_logic; source_sop: out std_logic; source_eop: out std_logic; source_ena: in std_logic ); end Interleaver; -- ================================================================ architecture structure of Interleaver is component declaration -- generate by ALTERA ip toolbench -- name: ram_interleaver -- size: 4bit * 64words component ram_interleaver PORT ( aclr: IN STD_LOGIC; clock: IN STD_LOGIC; data: IN STD_LOGIC_VECTOR (3 DOWNTO 0); rdaddress: IN STD_LOGIC_VECTOR (5 DOWNTO 0); rden: IN STD_LOGIC; wraddress: IN STD_LOGIC_VECTOR (5 DOWNTO 0); wren: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); end component; -- signals those connect to RAM signal clk_ram: std_logic; signal aclr_ram: std_logic; signal inputdata_ram: std_logic_vector (3 downto 0); signal outputdata_ram: std_logic_vector (3 downto 0); signal readenable_ram: std_logic; 71

93 signal writeenable_ram: std_logic; signal readaddress_ram: std_logic_vector (5 downto 0); signal writeaddress_ram: std_logic_vector (5 downto 0); -- signals those used to Atlantic interface outputs signal interval_source_val: std_logic; signal interval_source_sop: std_logic; signal interval_source_eop: std_logic; signal interval_sink_ena: std_logic; -- flag signal indicates status: '1' input process, '0' output process` signal inoutflag: std_logic; -- write address signal wraddnum: integer range 0 to 36; -- read address signal rdaddnum: integer range 0 to 36; -- write enable signal writeenable: std_logic; -- read enable signal readenable: std_logic; -- delayd signals -- sink_eop delay signal sink_eop_d: std_logic; -- readenable delay signal readenable_d0: std_logic; signal readenable_d1: std_logic; -- interval_source sop and eop delay or acceleration signal interval_source_sop_d0: std_logic; signal interval_source_sop_d1: std_logic; signal interval_source_eop_a: std_logic; signal interval_source_eop_d0: std_logic; signal interval_source_eop_d1: std_logic; begin

94 -- part1: ram connections -- ram ports map u1: ram_interleaver port map ( clock => clk_ram, aclr => aclr_ram, data => inputdata_ram, q => outputdata_ram, rdaddress => readaddress_ram, wraddress => writeaddress_ram, rden => readenable_ram, wren => writeenable_ram ); -- integer converts to std_logic_vector readaddress_ram <= conv_std_logic_vector(rdaddnum,6); writeaddress_ram <= conv_std_logic_vector(wraddnum,6); -- readenable delay process(clk,readenable) begin if falling_edge(clk) then readenable_d0 <= readenable; readenable_d1 <= readenable_d0; end if; end process; writeenable_ram <= writeenable; readenable_ram <= readenable_d0; clk_ram <= clk; aclr_ram <= aclr; inputdata_ram <= inputdata; -- outputs data at clk's falling edge process(aclr,clk,outputdata_ram) begin if aclr = '1' then outputdata <= "0000"; else if falling_edge(clk) then -- using falling edge outputdata <= outputdata_ram; end if; end if; 73

95 end process; part2: generate Flag signal inoutflag -- delay input signal sink_eop process(clk,sink_eop) begin if rising_edge(clk) then sink_eop_d <= sink_eop; end if; end process; -- control inoutflag process(clk,aclr,sink_eop_d,interval_source_eop_a) begin if aclr = '1' then inoutflag <= '1'; else if rising_edge(clk) then if inoutflag = '1' then if sink_eop_d = '1' then inoutflag <= '0'; end if; else if interval_source_eop_a = '1' then inoutflag <= '1'; end if; end if; end if; end if; end process; part3: generate read/write Enable/Address -- generate writeenable process(aclr,inoutflag,sink_val) begin if aclr = '1' then 74

96 writeenable <= '0'; else if inoutflag = '1' then writeenable <= sink_val; else writeenable <= '0'; end if; end if; end process; -- generate readenable process(clk,aclr,inoutflag,source_ena) begin if aclr = '1' then readenable <= '0'; else if falling_edge(clk) then -- using falling edge if (inoutflag = '0') and (source_ena = '1') then readenable <= '1'; else readenable <= '0'; end if; end if; end if; end process; -- write address process(clk,aclr,writeenable,sink_sop,sink_eop) begin if aclr = '1' then wraddnum <= 1; else if falling_edge(clk) then -- using falling edge if writeenable = '1' then if wraddnum = 36 then wraddnum <= 1; else wraddnum <= wraddnum + 1; end if; end if; if sink_sop = '1' then 75

97 wraddnum <= 2; elsif sink_eop = '1' then wraddnum <= 1; end if; end if; end if; end process; -- read address process(clk,aclr,source_ena,interval_sink_ena) begin if aclr = '1' then rdaddnum <= 0; else if falling_edge(clk) then -- using falling edge if readenable = '1' then if rdaddnum = 0 then rdaddnum <= 1; elsif rdaddnum = 31 then rdaddnum <= 2; elsif rdaddnum = 32 then rdaddnum <= 3; elsif rdaddnum = 33 then rdaddnum <= 4; elsif rdaddnum = 34 then rdaddnum <= 5; elsif rdaddnum = 35 then rdaddnum <= 6; else rdaddnum <= rdaddnum + 6; end if; end if; if rdaddnum = 36 then rdaddnum <= 0; end if; end if; end if; end process; part4: Atlantic interface signals 76

98 -- source sop eop is controlled by rdaddnum -- generate interval_source_eop_a at the same time process(rdaddnum) begin case rdaddnum is when 36 => interval_source_eop <= '1'; interval_source_sop <= '0'; interval_source_eop_a <= '0'; when 1 => interval_source_sop <= '1'; interval_source_eop <= '0'; interval_source_eop_a <= '0'; when 30 => interval_source_sop <= '0'; interval_source_eop <= '0'; interval_source_eop_a <= '1'; when others => interval_source_sop <= '0'; interval_source_eop <= '0'; interval_source_eop_a <= '0'; end case; end process; -- source_sop source_eop delay and output process(clk,interval_source_sop) begin if rising_edge(clk) then interval_source_sop_d0 <= interval_source_sop; interval_source_sop_d1 <= interval_source_sop_d0; end if; end process; process(clk,interval_source_eop) begin if rising_edge(clk) then interval_source_eop_d0 <= interval_source_eop; interval_source_eop_d1 <= interval_source_eop_d0; end if; end process; 77

99 process(clk,interval_source_sop_d1,interval_source_eop_d1) begin if falling_edge(clk) then -- using falling edge source_sop <= interval_source_sop_d1; source_eop <= interval_source_eop_d1; end if; end process; -- generate sink_ena process(clk,aclr,wraddnum,rdaddnum) begin if aclr = '1' then interval_sink_ena <= '1'; else if rising_edge(clk) then if wraddnum = 35 then -- edited in v1.1 deassert sink_ena earlier interval_sink_ena <= '0'; elsif rdaddnum = 36 then interval_sink_ena <= '1'; end if; end if; end if; end process; sink_ena <= interval_sink_ena; -- generate source_val process(clk,readenable_d1) begin if falling_edge(clk) then -- using falling edge interval_source_val <= readenable_d1; end if; end process; source_val <= interval_source_val; end structure; -- ================================================================ 78

100 79

101 80

102 81

103 iteartively error-control code timing recovery iterative timing recovery 82

104 ISI [1] deep-space 1/31 15dB Georghiades Snyder EM [2] ISI [1], [3] - [5] [1], [6] - [8] 83

105 a Turbo Turbo b b [10] Turbo c Turbo d soft-output BCJR[11] Baum-Welch [12] 1/T 84

106 r(t) k T n(t) k {kt+ } = - 85

107 α β 2 β 2 k - = + - α<1 α β 86

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

SL2511 SR Plus 操作手冊_單面.doc

SL2511 SR Plus 操作手冊_單面.doc IEEE 802.11b SL-2511 SR Plus SENAO INTERNATIONAL CO., LTD www.senao.com - 1 - - 2 - .5 1-1...5 1-2...6 1-3...6 1-4...7.9 2-1...9 2-2 IE...11 SL-2511 SR Plus....13 3-1...13 3-2...14 3-3...15 3-4...16-3

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

Microsoft PowerPoint - 数据通信-ch1.ppt

Microsoft PowerPoint - 数据通信-ch1.ppt 主 要 内 容 与 基 本 要 求 主 要 内 容 数 据 通 信 与 计 算 机 网 络 计 算 机 网 络 的 发 展 过 程 分 类 以 及 主 要 性 能 指 标 ; 分 组 交 换 的 基 本 原 理 及 其 与 电 路 交 换 报 文 交 换 的 联 系 与 区 别 ; 计 算 机 网 络 的 协 议 与 体 系 结 构 第 1 章 概 述 基 本 要 求 掌 握 分 组 交 换 电 路

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

WLAN 2

WLAN 2 1 WLAN 2 IEEE 802.11 HomeRF GSM/ GPRS CDMA 3 ( ) (Infrared) (Laser) (Microwave) (DSSS) (FHSS) (HomeRF) (Bluetooth) 4 ( ) IrDA (Direct-Beam IR, DB/ IR) (Diffuse IR, DF/ IR) (Ominidirectional IR, Omini/

More information

SAPIDO GR-1733 無線寬頻分享器

SAPIDO GR-1733 無線寬頻分享器 1 版 權 聲 明... 4 產 品 保 固 說 明... 4 保 固 期 限... 4 維 修 辦 法... 5 服 務 條 款... 5 注 意 事 項... 6 低 功 率 電 波 輻 射 性 電 機 管 理 辦 法... 6 CE 標 誌 聲 明... 6 無 線 功 能 注 意 事 項... 6 1 產 品 特 點 介 紹... 7 1.1 LED 指 示 燈 功 能 說 明... 8 1.2

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candi

Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candi U17 10220 UDC624 Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candidate:Chen Hao Tutor: Xue Jinghong

More information

Microsoft PowerPoint - TTCN-Introduction-v5.ppt

Microsoft PowerPoint - TTCN-Introduction-v5.ppt Conformance Testing and TTCN 工研院無線通訊技術部林牧台 / Morton Lin 03-5912360 mtlin@itri.org.tw 1 Outline Introduction and Terminology Conformance Testing Process 3GPP conformance testing and test cases A real world

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui,

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui, 中 国 科 学 技 术 大 学 工 程 硕 士 学 位 论 文 地 铁 内 移 动 通 信 室 内 覆 盖 分 析 及 应 用 作 者 姓 名 : 学 科 专 业 : 盛 海 亮 电 子 与 通 信 导 师 姓 名 : 李 辉 副 教 授 赵 红 媛 高 工 完 成 时 间 : 二 八 年 三 月 十 日 University of Science and Technology of Ch A dissertation

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

IEC 传输帧格式

IEC 传输帧格式 IEC 60870-5-1 GB GB/T XXXXX XXXX idt IEC 60870-5-1:1990 Telecontrol Equipment and Systems Part 5:Transmission Protocol Section 1: Transmission frame formats ( ) 1998.6.28 2000.2.10 2000.5.7 200x-xx-xx

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

F5

F5 DOI:10.3969/j.issn.1009-6868.2016.03.007 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20160426.1630.004.html Full-Duplex WiFi Design and Implementation Based on GRT platform 吴 浩 洋 /WU Haoyang

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

2

2 1 2 3 4 PHY (RAN1) LTE/LTE-A 6.3 Enhanced Downlink Multiple Antenna Transmission 6.3.1 CSI RS 6.4 Uplink Multiple Antenna Transmission 6.4.1 Transmission modes and Signalling requirements for SU-MIMO 6.5

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

99年度提案審查

99年度提案審查 1/21 2 97 98 99 00 01 02 03 04 05 06 07 3 年度 年 類 例 年 行 量 年 行 量 4 Source NCC 5 行 WAP, GPRS, PHS, 3G (Source: NCC) 6 Source: Allot Mobile Trends 7 ARPU from $48 to $24 by 2011 HSPA Modules from $70 to $35

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D 2008 1 1 A. B. C. D. UML 2 3 2 A. B. C. D. 3 A. B. C. D. UML 4 5 4 A. B. C. D. 5 A. B. C. D. 6 6 A. DES B. RC-5 C. IDEA D. RSA 7 7 A. B. C. D. TCP/IP SSL(Security Socket Layer) 8 8 A. B. C. D. 9 9 A. SET

More information

基于泛在网的智能交通应用系统总体框架

基于泛在网的智能交通应用系统总体框架 ICS 01.040.33 M04 YDB 2010-2623T-YD 中 国 通 信 标 准 化 协 会 标 准 YDB 2010-2623T-YD 通 信 网 支 持 智 能 交 通 系 统 总 体 框 架 General architecture of intelligent transportation system based on telecommunication networks 201X

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM FPGA IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 SMART, Shutdown, FLUSH!! Linux Gen3 PCIe SSD 2ch RAID 2ch RAID

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs FPGA 100G WP-01115-1.1 100G 100G 100G FPGA Altera Stratix IV GT FPGA 40-nm1.3-Gbps 100G 100G Altera Stratix IV GX Arria II GX Arria II GZ FPGAHardCopy ASIC OTN FPGA 100G OTN 2007 2012 IP 6 2012 522 (10

More information

104-012-7794 MOTC-IOT-103-H1DB001a 臺 灣 港 務 公 司 之 監 督 與 公 司 治 理 績 效 評 估 研 究 (2/2) 著 者 : 謝 幼 屏 吳 榮 貴 朱 金 元 吳 朝 升 孫 儷 芳 王 克 尹 林 玲 煥 張 淑 滿 陳 銓 楊 世 豪 陳 秋 玲

104-012-7794 MOTC-IOT-103-H1DB001a 臺 灣 港 務 公 司 之 監 督 與 公 司 治 理 績 效 評 估 研 究 (2/2) 著 者 : 謝 幼 屏 吳 榮 貴 朱 金 元 吳 朝 升 孫 儷 芳 王 克 尹 林 玲 煥 張 淑 滿 陳 銓 楊 世 豪 陳 秋 玲 104-012-7794 MOTC-IOT-103-H1DB001a 臺 灣 港 務 公 司 之 監 督 與 公 司 治 理 績 效 評 估 研 究 (2/2) 交 通 部 運 輸 研 究 所 中 華 民 國 104 年 3 月 104-012-7794 MOTC-IOT-103-H1DB001a 臺 灣 港 務 公 司 之 監 督 與 公 司 治 理 績 效 評 估 研 究 (2/2) 著 者 :

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

ITU-R BT.2016建议书(03/2012) - VHF/UHF频段内用手持接收机移动接收的地面多媒体广播的纠错、数据成帧、调制和发射方法

ITU-R BT.2016建议书(03/2012) - VHF/UHF频段内用手持接收机移动接收的地面多媒体广播的纠错、数据成帧、调制和发射方法 ITU-R BT.2016 建议书 (04/2012) VHF/UHF频段内用手持接收机 移动接收的地面多媒体广播的 纠错 数据成帧 调制 和发射方法 BT系列 广播业务 (电视) ii ITU-R BT.2016 建 议 书 前 言 无 线 电 通 信 部 门 的 职 责 是 确 保 卫 星 业 务 等 所 有 无 线 电 通 信 业 务 合 理 平 等 有 效 经 济 地 使 用 无 线 电 频

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库 Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 DMB-T RS DMB-T DMB-T RS(208,188) RS BM RS : 1 RS RS RS FPGA RS 2 RS 3 BM 4 matlab RS RS

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

二零一零至一一年施政报告 - 施政纲领

二零一零至一一年施政报告 - 施政纲领 二 零 一 零 至 一 一 年 施 政 报 告 施 政 纲 领 总 序 自 金 融 海 啸 爆 发 以 来, 我 们 时 时 刻 刻 密 切 注 视 世 界 经 济 的 变 化, 并 实 行 稳 金 融 撑 企 业 保 就 业 的 策 略 我 们 在 去 年 的 施 政 报 告 及 今 年 的 财 政 预 算 案, 提 出 发 展 六 项 优 势 产 业 及 其 他 有 效 措 施, 以 稳 固 经

More information

untitled

untitled LBS Research and Application of Location Information Management Technology in LBS TP319 10290 UDC LBS Research and Application of Location Information Management Technology in LBS , LBS PDA LBS

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft PowerPoint - UWB´¹¤ù§Þ³NÁÍ¶Õ ppt

Microsoft PowerPoint - UWB´¹¤ù§Þ³NÁÍ¶Õ ppt UWB 晶片技術趨勢 吳茂霖博士智原科技 / 通訊應用事業部 2005/10/27 Outline Introduction to UWB Market & Application Opportunity and Threat of WiMedia UWB UWB IC Implementation Challenges Trend of UWB IC Technology Conclusions

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Microsoft Word - 00封面

Microsoft Word - 00封面 核 准 文 號 : 教 育 部 104 年 3 月 27 日 臺 教 國 署 高 字 第 1040034407 號 函 核 定 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 (104 學 年 度 入 學 學 生 適 用 ) 中 華 民 國 103 年 3 月 27 日 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總

More information

南華大學數位論文

南華大學數位論文 1 Key word I II III IV V VI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51

509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51 59 500,000 500,000 6BA(5) 50,000 59I (i) 18 (ii) (iii) (iv) (v) (vi) (vii) 200,000 12 50 509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51 15 14 7 2 7 14 7 14 24 40 2 6 100,000 6 100,000 57 43C

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

工程师培训

工程师培训 .1 TCP/IP TCP/IP 1 .2.2.1 Host 1960 S 1970 S Host Low Speed Lines 1970 S 1980 S pc Server Local Interneting 1980 S 1990 S Branch. pc Branch. WAN Branch. pc pc IBM SNA IBM X.25 2 .2.2 OSI OSI Application

More information

1 Visual Studio.NET Linux C++ JBuilder 4 RJ45 RS3 Modem 6 MAC IP TCP Socket UDP FTP ; Windows 000 Serve : 8 Windows 000 Serve DNS DHCP Web FTP E

1 Visual Studio.NET Linux C++ JBuilder 4 RJ45 RS3 Modem 6 MAC IP TCP Socket UDP FTP ; Windows 000 Serve : 8 Windows 000 Serve DNS DHCP Web FTP E Experiment of Computer Networks 1 / 1 / 003.6 Youlu Zheng Shakil Akhtar Networks for Computer Scientists and Engineer 004.5 Visual Studio.NET Linux C++ JBuilder RS3 Modem IP TCP Socket FTP ; Windows 000

More information

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論..

1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論.. 如 何 準 備 研 究 所 甄 試 劉 富 翃 1 目 錄 1. 簡 介... 2 2. 一 般 甄 試 程 序... 2 3. 第 一 階 段 的 準 備... 5 4. 第 二 階 段 的 準 備... 9 5. 每 間 學 校 的 面 試 方 式... 11 6. 各 程 序 我 的 做 法 心 得 及 筆 記... 13 7. 結 論... 20 8. 附 錄 8.1 推 甄 書 面 資 料...

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

( ) (a) (b)1 102I 50

( ) (a) (b)1 102I 50 95A 95B 3(1) (I) 21 (II) (III)( ) 4(2) (3) 1 2 ( )21 3 49 ( ) 4 1 2 (a) (b)1 102I 50 3 21 ( 3 ) 1 2 3 10 (i) (ii) 3 ( 10,000) 3A9 14 5 (a) (b) 550,000 51 10 9 (a) (b) I II 57 57 57 420 10% 57 420 10% (i)

More information

输电线路智能监测系统通信技术应用研究

输电线路智能监测系统通信技术应用研究 Smart Grid 智 能 电 网, 2014, 4, 11-15 http://dx.doi.org/10.12677/sg.2014.41003 Published Online February 2014 (http://www.hanspub.org/journal/sg.html) Application Research of Communication Technology for

More information

epub 61-2

epub 61-2 2 Web Dreamweaver UltraDev Dreamweaver 3 We b We b We Dreamweaver UltraDev We b Dreamweaver UltraDev We b We b 2.1 Web We b We b D r e a m w e a v e r J a v a S c r i p t We b We b 2.1.1 Web We b C C +

More information