第16章 VLSI设计方法学

Size: px
Start display at page:

Download "第16章 VLSI设计方法学"

Transcription

1 第 16 章 VLSI 设计方法学 西安交通大学电信学院微电子学系国家集成电路人才培养基地程军 2017 年 5 月

2 主要内容 综述 VLSI 设计流程 层次化设计 规范化 模块化和本地化设计 VLSI 的设计风格 p.2

3 早期集成电路的设计 早期集成电路设计完全靠手工 A Computer Aided Design (CAD) system running on an IBM mainframe was used to design custom ICs at Fairchild in MOS shift register, 1964 This was the first commercial MOS IC: Robert Norman s 20-bit shift register using 120 p-channel transistors. p.3

4 早期集成电路的设计 刻红膜 (Mask) 早期的 Tape-out Intel 8088A 透明重叠的掩模 (1976) p.4

5 今天的集成电路设计 数字集成电路已经进入 SoC 时代, 设计复杂度越来越高, 依靠 IP 集成来提高集成电路, 但是与芯片制造的进步相比, 设计能力的进步还是显得比较慢 IP: Intellectual Property 硬核 :Hardcore 软核 :softcore 固核 :Firmcore p.5

6 今天的集成电路设计 Apple A8 处理器 :20nm 工艺 ; 8.47x10.50mm 2 ;20 亿个晶体管 ; 双核, 最大 1.4Ghz 主频 p.6

7 今天的集成电路设计 p.7

8 The Design Productivity Challenge A growing gap between design complexity and design productivity 没有好的设计方法学, 根本无法处理一个芯片上 20 多亿个晶体管的设计, 无法满足制造能力的进步, 缩小设计与制造之间的差距!!! p.8

9 主要内容 综述 VLSI 设计流程 层次化设计 规范化 模块化和本地化设计 VLSI 的设计风格 p.9

10 Y 图 ( 集成电路设计域 ) 系统级 / 算法级 寄存器传输级 逻辑级 电路级 数字集成电路设计层次 : 系统级 / 算法级 C++, matlab, HDL 寄存器传输级 (RTL) HDL 逻辑级 ( 门级 ) HDL/Schematic 电路级 ( 晶体管级 ) SPICE,Sch 版图 GDSII 设计完成的标志 : 1 性能方面 : 功能 时序 速度和功耗满足规范 ; 2 适当的 Die 尺寸 : 芯片制造成本 ; 3 时间 : 设计成本和上市时间表 ; 4 可测试性 : 测试向量产生 ; 芯片设计就是在所有这些方面取得折衷 p.10

11 系统级 / 算法级描述 高级的行为抽象系统算法, 例如 :C 语言 抽象度高, 不含任何时序 电气等物理实现信息 ; 可以作为一个设计开始, 可以得到有效的运行模型 ; 由于没有关联到物理实现, 在整个项目期间无法始终用象 C 语言这样的描述完成设计 但是,C 语言综合一直是设计自动化 (EDA) 研究的热点 p.11

12 寄存器传输级 (RTL) 描述 接近硬件实现的周期精确度的模型 bit-vector data types and operations as abstraction from bit-level implementation sequential constructs (e.g., if-then-else, while loops) to support modeling of complex control flow p.12

13 门级 (Gate Level)/ 逻辑级描述 models function in Boolean logic using registers and gates various delay models for gates and wires p.13

14 电路级 / 晶体管级描述 PMOS/NMOS 晶体管模型 Dio/BJT Resistor Capacitor 模型 提供高精度的电路仿真 版图级描述 实现器件和互联线的多边形 p.14

15 集成电路设计层次 IC 设计是一个不断将设计描述从高层次描述向低层次描述转换的过程 ; 每一个模数过程需要仔细验证, 每一个转换过程也需要验证 转换过程可以是 EDA 工具自动完成的, 也可以是人工完成的 p.15

16 今天的 IC 设计离不开 EDA 技术 Design: High-Level Synthesis Logic Synthesis Schematic Capture Layout PCB Design Analysis and Verification: Functional Verification Clock Domain Crossing Formal Verification Equivalence Checking Static Timing Analysis Physical Verification Mask Preparation: Optical Proximity Correction (OPC) Resolution Enhancement Techniques Mask Generation Simulation: Transistor Simulation Logic Simulation Hardware Emulation Technology CAD Field Solvers Validation: ATPG BIST Altera: QuartusII Now is belong to Intel Quartus Prime p.16

17 SOC 集成电路设计流程 Digital Module design Chip Design No No No No IP module rtl design Module Verification Synthesis ATPG STA yes Formal verification Yes Yes no RTL code export CVE view Chip RTL Verification no Power analysis yes Top Level Integration Top-down logic synthesis & Scan insertion Formal verification Yes Floorplan; P&R; Extraction Layout Verification yes no No Formal verification no no no synopsys lib,netlist, behavior model Chip Mix Simulation GDS; Synopsys Astro; Magma volcano no Analog Module design IP module full custorm design Module Simulation Yes IP model generate IP module layout design Layout Verification yes No No no Gate level Verification no STA yes ATPG pattern resim Yes yes yes GDS tape out p.17

18 软硬件协同 p.18

19 简化设计流程 简单地说, 数字集成电路设计的流程, 就是不断地将数字系统的描述从高层次向低层次转换的过程, 中间伴随着不断的验证 这种转换一直到版图阶段结束 自上而下 自下而上 p.19

20 主要内容 综述 VLSI 设计流程 层次化设计 规范化 模块化和本地化设计 VLSI 的设计风格 p.20

21 层次化设计 模块化 / 层次化设计 : 模块化设计使得设计好的电路可以被重用, 层次化使得设计理解起来更加容易 每一个电路都可以在行为域 结构域和物理域被描述 p.21

22 层次化设计 p.22

23 层次化设计 16 位加法器层次 p.23

24 16 位加法器完整版图 p.24

25 16 位加法器版图层次 p.25

26 主要内容 综述 VLSI 设计流程 层次化设计 规范化 模块化和本地化设计 VLSI 的设计风格 p.26

27 层次化 规范化 模块化 本地化 层次化设计不断将电路分解成子模块电路, 直到子模块电路可以被设计和描述, 降低了子模块电路设计的复杂度 ; 规范化是指要让整个系统由功能尽可能相似的子模块构成, 减少子模块数量, 提高子模块的重复利用率 ; 一个电路尽量由相同的子模块构成, 例如一个由完全相同的单元构成的阵列结构的设计 模块化意味着所设计的子电路具有很好的功能定义和接口, 便于重复使用 ; 模块化设计使得设计可以由多人并行进行 ; 定义良好的接口使得每个模块不受外部模块的影响 本地化指的是保证模块间的连线尽可能短, 子模块之间尽量不出现长距离连线 p.27

28 主要内容 综述 VLSI 设计流程 层次化设计 规范化 模块化和本地化设计 VLSI 的设计风格 p.28

29 VLSI 的设计风格 根据数字集成电路版图设计方法的不同, 设计风格可以分为 : 全定制和半定制, 数字集成电路主要是半定制方法设计的 Digital Circuit Implementation Approaches 全定制 Custom Semicustom 半定制 Cell-based Array-based Standard Cells Compiled Cells Macro Cells Pre-diffused (Gate Arrays) Pre-wired (FPGA's) p.29

30 The Custom Approach Intel 4004 Courtesy Intel p.30

31 Transition to Automation and Regular Structures Intel 4004 ( 71) Intel 8080 Intel 8085 Intel 8286 Intel 8486 Courtesy Intel p.31

32 全定制 (Full Custom) 设计 版图完全由设计者重新设计, 不采用任何库 ; 设计效率极低, 开发成本非常高 ; 只适合于存储器这样的重复率极高的电路产品 ; 或者性能要求极高 其他设计方法无法满足要求的产品, 例如 : 高性能 CPU,DRAM 和 SRAM 等 模拟电路因为设计方法不支持的原因, 只能采用全定制设计 ; 数字电路一般不用全定制来设计, 主要原因是数字电路规模大, 全定制设计效率低 设计时间长 成本极高, 一般产品无法接受这么长的设计时间 p.32

33 全定制设计 一位全加器 p.33

34 全定制设计 p.34

35 全定制设计 p.35

36 全定制设计 SRAM 单元 p.36

37 全定制设计 电路图到版图的映射 p.37

38 全定制集成电路设计流程 EDA 工具示例 Cadence Virtuoso Schematic Spice: Hspice/Spectre/Eldo FastSpice:UltraSim/APS/HSIM Cadence Virtuoso Layout Editor Calibre/Assura Spice: Hspice/Spectre/Eldo p.38

39 VLSI 设计风格 p.39

40 半定制设计风格 基于 HDL 的设计 1980 s Hardware Description Languages (HDL) were conceived to facilitate the information exchange between design groups s The increasing computation power led to the introduction of logic synthesizers that can translate the description in HDL into a synthesized gate-level net-list of the design s Modern synthesis algorithms can optimize a digital design and explore different alternatives to identify the design that best meets the requirements. p.40

41 基于 HDL 的设计 p.41

42 标准单元 p.42

43 标准单元 p.43

44 标准单元 p.44

45 标准单元 p.45

46 标准单元设计 含有一个标准单元模块和三个存储器模块的芯片版图 p.46

47 门阵列 p.47

48 门阵列基本单元 Oxide-isolation PMOS PMOS NMOS NMOS NMOS Using oxide-isolation Using gate-isolation p.48

49 门阵列在定制前 p.49

50 门海芯片 Random Logic Memory Subsystem LSI Logic LEA300K (0.6 mm CMOS) Courtesy LSI Logic p.50

51 半定制集成电路设计流程 Physical Design p.51

52 VLSI 设计风格 设计周期短, 不需要物理制造过程, 适合于小规模 ASIC 和快速的原型设计 p.52

53 FPGA 设计 FPGA Field Programmable Gate Array 可配置的逻辑模块构成的阵列, 可编程的互连线结构 快速实现设计原型 (prototyping), 非常适合小批量实验产品, 设计成本低 使用硬件描述语言 HDL (hardware description language) 设计 p.53

54 Fuse-Based FPGA Anti Fuse antifuse polysilicon ONO dielectric n + antifuse diffusion 2 l Open by default, closed by applying current pulse From Smith97 p.54

55 金属 - 金属反熔丝 连接 连接 通孔 钨塞 非晶硅 非晶硅 QuickLogic 公司 FPGA 编程元件 ; 由钨 钛 硅的合金构成, 电阻率低 ; 金属与金属直接编程连接, 减小了寄生电容 ; 导通电阻小 ( 平均 50W) 高密度 抗辐射; p.55

56 SRAM 编程元件 Read 或 Write Data Q QN 可以多次编程, 实现 ISP 构建系统, 使硬件容易升级 标准 CMOS 工艺, 成本低 易失性元件, 需要外接永久存储器, 保密性差 编程元件面积比反熔丝大 p.56

57 浮栅编程元件 源 浮栅 栅 漏 D t ox N + 衬底 P N + (a) 浮栅器件截面图 1967 年由 Kahng 和 Sze 提出 ; t ox 浮栅使 MOS 跨导降低 阈值电压可编程 ; 也被称为浮栅雪崩注入 MOS(floating-gate avalanche-injection MOS, FAMOS) 晶体管 G S (b) 原理图符号 p.57

58 Array-Based Programmable Logic I 5 I 4 I 3 I 2 I 1 I 0 Programmable OR array I 3 I 2 I 1 I 0 Programmable OR array I 5 I 4 I 3 I 2 I 1 I 0 Fixed OR array Programmable AND array Fixed AND array Programmable AND array O 3 O 2 O 1 O 0 O 3 O 2 O 1 O 0 O 3 O 2 O 1 O 0 PLA PROM PAL Indicates programmable connection Indicates fixed connection p.58

59 Programming a PROM 1 X 2 X 1 X 0 : programmed node NA NA f 1 f 0 p.59

60 More Complex PAL i inputs, j minterms/macrocell, k macrocells From Smith97 p.60

61 2-input mux as programmable logic block Configuration A B S F= A 0 B 1 S F X 1 X 0 Y 1 Y 0 Y X XY X 0 Y XY Y 0 X XY Y 1 X X 1 Y 1 0 X X 1 0 Y Y p.61

62 Logic Cell of Actel Fuse-Based FPGA A B 1 SA C 1 Y D 1 SB S0 S1 p.62

63 Memory Look-up Table Based Logic Cell In Out Out ln1 ln2 基于 SRAM 的函数发生器单元 p.63

64 现场可编程门阵列 (FPGA) p.64

65 CLB 内部结构 Logic Function 模块由查找表构成, 可以实现任意 4 输入布尔函数 p.65

66 可编程互联线 M Interconnect Point Programmed interconnection Input/output pin Cell Horizontal tracks Vertical tracks p.66

67 可编程互联线 p.67

68 可编程开关阵列晶体管结构 Courtesy Dehon and Wawrzyniek p.68

69 不同设计风格优缺点 全定制 (Full Custon) 设计 : 功耗 性能 面积可以得到完全优化, 高度复杂性, 成本高 time-to-market, 高风险 标准单元 (Standard Cell) 设计 : 简单 快速 可靠,EDA 工具支持好 ; 只能完成数字电路设计, 功耗 面积可能过大 ; 目前最广使用的设计方法 门阵列 (Gate Array) 设计 : 减少了掩模成本和制造周期 ; 只能是数字电路, 性能受到限制 ; FPGA: 不需要硅片的制造加工, 非常便宜 ; 性能受限, 单个芯片成本高, 批量大的时候不划算 p.69

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

untitled

untitled 2005 3 13 Introduction Circuit and system representation Design strategies Introduction Circuit and system representation Design strategies Four Phases in Creating a Chip This Lecture Other Lecture Other

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

2014_

2014_ 新 鼎 系 統 股 份 有 限 公 司 簡 介 台 北 總 公 司 11510 臺 北 市 南 港 路 三 段 52 號 5 樓 TEL: 886-2-2785-3839 FAX: 886-2-2782-0180 高 雄 分 公 司 811 高 雄 市 楠 梓 區 楠 梓 新 路 306 號 TEL: 886-7-352-5226 FAX: 886-7-351-3698 新 鼎 信 息 技 術 (

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

2003 1

2003 1 2003 2003 DATANG TELECOM TECHNOLOGY CO., LTD 2004 4 16 2003 1 2003 3 4 6 9 12 14 16 31 32 35 62 2 2003 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@datang.com

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63> 创 业 板 风 险 提 示 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

m K K K K m Fig. 2 The plan layout of K K segment p

m K K K K m Fig. 2 The plan layout of K K segment p 410151 K7 + 914 - K7 + 984 12 5. 3 Midas DOI 10. 7617 /j. issn. 1000-8993. 2013. 09. 020 THE ANALYSIS OF THE DESIGN AND CONSTRUCTION SECURITY OF DEEP FOUNDATION IN PURUI TUNNEL OPEN-CUT SEGMENT Yang Ping

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

CMOS线性响应测试

CMOS线性响应测试 应 用 CMOS 高 亮 度 天 文 测 光 CMOS-based High Intensity Photometry in Astronomy 项 目 完 成 人 : 童 仲 毅 俞 雯 萱 田 哲 毅 指 导 老 师 : 林 岚 杭 高 天 文 台 二 〇 一 一 年 八 月 II 摘 要 本 课 题 基 于 人 造 星 点 测 光 实 验 和 验 证 性 天 文 拍 摄 实 验, 旨 在 探

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 Enabling Technologies Safety Assurance 2015 2016 2017 2018 2019 Future Forecast of Enabling Technologies Driver Acceptance of Automated Vehicle Systems

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

Microsoft PowerPoint - 数据通信-ch1.ppt

Microsoft PowerPoint - 数据通信-ch1.ppt 主 要 内 容 与 基 本 要 求 主 要 内 容 数 据 通 信 与 计 算 机 网 络 计 算 机 网 络 的 发 展 过 程 分 类 以 及 主 要 性 能 指 标 ; 分 组 交 换 的 基 本 原 理 及 其 与 电 路 交 换 报 文 交 换 的 联 系 与 区 别 ; 计 算 机 网 络 的 协 议 与 体 系 结 构 第 1 章 概 述 基 本 要 求 掌 握 分 组 交 换 电 路

More information

untitled

untitled 103 30401 1 03-5593142 22192220 http://www.must.edu.tw 103 3 3 4 4 5 6 6 7 8 8 8 8 9 9 9 10 12 13 14 16 17 18 19 20 21 22 23 24 25 1 103 103 103. 4. 7 103. 5. 5~103. 5.20 103. 5.29 103. 6. 4 103. 6. 7

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF 國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文 以 系 統 動 力 學 建 構 美 食 餐 廳 異 國 麵 坊 之 管 理 飛 行 模 擬 器 研 究 生 : 簡 蓮 因 撰 指 導 教 授 : 楊 碩 英 博 士 中 華 民 國 九 十 七 年 七 月 致 謝 詞 寫 作 論 文 的 過 程 是 一 段 充 滿 艱 辛 與 淚 水 感 動 與 窩 心 的 歷 程, 感 謝 這 一

More information

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工 2014 年 电 气 工 程 及 其 自 动 化 专 业 教 学 质 量 年 度 报 告 1 专 业 发 展 概 况 ( 包 括 专 业 发 展 历 程 专 业 办 学 的 经 费 投 入 图 书 资 料 实 室 等 基 本 教 学 条 件 在 校 学 生 数 生 师 比 一 志 愿 录 取 率 新 生 报 到 率 学 生 转 入 和 转 出 人 数 ) 上 海 师 范 大 学 信 息 与 机 电

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

Microsoft Word - 专论综述1.doc

Microsoft Word - 专论综述1.doc 2016 年 第 25 卷 第 期 http://www.c-s-a.org.cn 计 算 机 系 统 应 用 1 基 于 节 点 融 合 分 层 法 的 电 网 并 行 拓 扑 分 析 王 惠 中 1,2, 赵 燕 魏 1,2, 詹 克 非 1, 朱 宏 毅 1 ( 兰 州 理 工 大 学 电 气 工 程 与 信 息 工 程 学 院, 兰 州 730050) 2 ( 甘 肃 省 工 业 过 程 先

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315.

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315. 31 5 2011 10 JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct. 2011 1000-1301 2011 05-0075 - 09 510405 1 /35 TU3521 P315. 8 A Earthquake simulation shaking table test and analysis

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

untitled

untitled (field effect transistor FET) 都 不 理 不 FET (gate G ) FET (source S ) FET (drain D ) 流 流 不 流 流 洞流 利 流來 n (n-channel FET) 利 洞流來 p (p-channel FET)n FET n (channel) 流 流 p FET 洞 p (channel) 流 流 來 類 1 n p FET

More information

... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 -

... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 - 600198 2004-1 - ... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 - 1 2 3 4 1 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 2 3 40 0086-10-62303607 0086-10-62303607 E-mail dt600198@datang.com

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 可信软件工程中的逻辑方法研讨会 LEDS2015 会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 ( 中 航 工 业 集 团 631 研 究 所 )

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_09

Microsoft PowerPoint - C15_LECTURE_NOTE_09 MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN MEMORY DEVICES, CIRCUITS, AND SUBSYSTEM DESIGN 9.1 Program and Data Storage 9.2 Read-Only Memory 9.3 Random Access Read/Write Memories 9.4 Parity, the Parity

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

49274h1.pdf

49274h1.pdf ( A B C D E F G H T N A10 A20 A30 A40 E10 E20 P10 P20 C10 C20 2 3 4 1 2 3 4 TZ KT MAT INF TEP MOT ETH 1 2 3 4 0 Mathematics for Information Sciences TNA102101 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 4 F-505

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

****************************************************** Fundamentals of TV Tracking ****************************************************** ( ),,, :,,,,,, 1998 9 ( ISBN 7-118-01911-9),,, 1999 5 20 Email:

More information

untitled

untitled IBM Software Group IBM 2006 IBM Corporation Rational Unified Process VS 2 3 RUP Proven. Practical. Flexible. 4 RUP:, Use-Case,,, (discipline) 5 (Use-case driven), 6 7 8 Rational Unified Process VS 9 Requirements

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

课题调查对象:

课题调查对象: 1 大 陆 地 方 政 府 大 文 化 管 理 职 能 与 机 构 整 合 模 式 比 较 研 究 武 汉 大 学 陈 世 香 [ 内 容 摘 要 ] 迄 今 为 止, 大 陆 地 方 政 府 文 化 管 理 体 制 改 革 已 经 由 试 点 改 革 进 入 到 全 面 推 行 阶 段 本 文 主 要 通 过 结 合 典 型 调 查 法 与 比 较 研 究 方 法, 对 已 经 进 行 了 政 府

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

20220053 电 工 技 术 3 学 分 48 学 时 Electrical Engineering 课 程 内 容 主 要 有 以 下 五 方 面 : 电 路 理 论 : 电 路 的 基 本 定 律 定 理 及 分 析 计 算 方 法, 正 弦 交 流 电 路, 三 相 电 路, 非 正 弦

20220053 电 工 技 术 3 学 分 48 学 时 Electrical Engineering 课 程 内 容 主 要 有 以 下 五 方 面 : 电 路 理 论 : 电 路 的 基 本 定 律 定 理 及 分 析 计 算 方 法, 正 弦 交 流 电 路, 三 相 电 路, 非 正 弦 电 机 工 程 与 应 用 电 子 技 术 系 00220012 高 档 单 片 机 原 理 及 应 用 2 学 分 32 学 时 The Principles and Application of Advanced Single-Chip Computer 本 课 程 受 日 本 瑞 萨 科 技 公 司 资 助 开 设, 主 要 介 绍 瑞 萨 公 司 出 品 的 32 位 单 片 机 SH7709

More information

/ 4 4 / 5 /

/ 4 4 / 5 / 52 2 1 2 3 1 2 10 / 4 4 / 5 / 2020 6 30 2019 4 30 2 1.2018 2.2018 3.2018 4. 2018 7 13 3 1 2018 1 Environmental Policy Analysis 2 MedievalEnglish Literature Leonard Neidorf 3 Chinese History and Civilization

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

Microsoft Word - 刘 慧 板.doc

Microsoft Word - 刘  慧 板.doc 中 国 环 境 科 学 2012,32(5):933~941 China Environmental Science 系 统 动 力 学 在 空 港 区 域 规 划 环 境 影 响 评 价 中 的 应 用 刘 慧 1,2, 郭 怀 成 1*, 盛 虎 1, 都 小 尚 1,3, 李 娜 1 1, 杨 永 辉 (1. 北 京 大 学 环 境 科 学 与 工 程 学 院, 北 京 100871; 2.

More information

31 17 www. watergasheat. com km 2 17 km 15 km hm % mm Fig. 1 Technical route of p

31 17 www. watergasheat. com km 2 17 km 15 km hm % mm Fig. 1 Technical route of p 31 17 215 9 CHINA WATER & WASTEWATER Vol. 31 No. 17 Sep. 215 < > 232 SUSTAIN BMP SUSTAIN TU992 C 1-462 215 17-111 - 8 Planning and Design of Sponge City Case Study of Beijing Yongding River Ecological

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Revit Revit Revit BIM BIM 7-9 3D 1 BIM BIM 6 Revit 0 4D 1 2 Revit Revit 2. 1 Revit Revit Revit Revit 2 2 Autodesk Revit Aut

Revit Revit Revit BIM BIM 7-9 3D 1 BIM BIM 6 Revit 0 4D 1 2 Revit Revit 2. 1 Revit Revit Revit Revit 2 2 Autodesk Revit Aut 60 2 2016 2 RAILWAY STANDARD DESIGN Vol. 60 No. 2 Feb. 2016 1004-2954201602-0071-06 BIM 1 1 2 2 1 1. 7140992. 710054 BIM BIM 3D 4D nd BIM 1 3D 4D Revit BIM BIM U442. 5TP391. 72 A DOI10. 13238 /j. issn.

More information

IEEE/EIA 12207 0910023766 ??? The Frameworks Quagmire (http://www.software.org/quagmire/) () ISO 9001 ISO/IEC 12207 ISO/IEC 15504 CMM Quality System Requirements Life Cycle Processes Process Assessment

More information