Microsoft Word - A doc

Size: px
Start display at page:

Download "Microsoft Word - A doc"

Transcription

1 基于 FPGA 的图像采集系统的色彩空间转换 肖文娟, 赵冠楠, 梁凤梅太原理工大学信息工程学院, 太原 (03004) 摘要 : 基于 Xilinx 公司的 Spartan- 3e 系列 FPGA xc3s50e-5pq08 完成了图像采集系统的色彩空间转换, 将输入分辨率为 04*768 的 YCbCr(4::) 格式图像信号转换成 RGB(8:8:8) 格式图像信号 整个设计采用 VHDL 语言描述, 经过逻辑优化, 该转换有着比同类转换精度高 占用内存资源少等优点 关键词 : 图像采集系统 ;FPGA;VHDL; 色彩空间转换 引言 随着微处理器 专用逻辑器件 以及 DSP 算法以 IP 核的形式嵌入到 FPGA 中, FPGA 可实现的功能越来越强, 使得 FPGA 在现代图像处理领域中发挥着越来越重要的作用 [] 本文中的图像采集系统就是基于 FPGA 的, 图像传感器采集到的图像经 A/D 转换后输出 YCbCr 格式的信号, 但是很多显示器只支持 RGB 格式的信号, 所以需要基于 FPGA 实现色彩空间的转换 图像传 感器 A/D YCbCr FPGA D/ A VGA 显示器 RGB MT9D DDR SDRAM 图 系统硬件框图 基于 FPGA 的图像采集系统简介 图像采集系统的色彩空间转换是基于 Xilinx 公司的 Spartan-3e 系列 FPGA xc3s50e-5pq08 [], 此 FPGA 逻辑资源丰富, 其内有 5 万个系统门,5508 个逻辑单元 ( LC), 6 个可配置逻辑快 ( CLB),6Kbit 的块 RAM, 最多有 58 个可用的 I/O 该系统选用了美光的 CMOS 图像传感器 MT9D [3], 它高速的处理能力使得视频帧率非常高, 可以达到 30fps( ) 5fps( 最大分辨率 ) 其中 D/A 模块采用了 ADV75, 其输入信号要求是 RGB(8:8:8) 格式 系统利用 DDR SDRAM 作为帧缓冲, 在处理图像数据的时候, 先将 first frame 图像数据存放在 DDR SDRAM 中, 然后接收 second frame, 同时去处理 first frame [4] 图像传感器采集到的原始图像数据, 经过 A/D 转换后输出每秒 5 帧 分辨率为 的 YCbCr(4::) 格式的图像数据 在 FPGA 中完成 YCbCr(4::) 到 RGB(8:8:8) 色彩空间的转换 输出的数字图像数据信号经 D/A 转换后生成 RGB 真彩模拟信号, 通过 VGA 接口使图像传感器采集到的高分辨率的图像数据在 VGA 显示器上实时显示 --

2 3 色彩空间 色域 (Color Space), 又被称为色彩空间, 它代表一个色彩影像所能表现的色彩的具体情况 我们经常用到的色彩空间主要有 RGB YCbCr CMYK YIQ 等 其中 RGB 用于彩色监视器和一大类彩色视频摄像机 YCbCr 则是在世界数字组织视频标准研制过程中作为 ITU - R BT60 建议的一部分, 它有 4:4:4, 4::, 4::,4::0 几种采样格式, 其中 YCbCr (4::) 是 DVD 数字电视 HDTV 以及其它消费类视频设备的最常用格式 CMYK 多用于印刷业,YIQ 色彩系统通常被北美的电视系统所采用 ( 属于 NTSC 系统 ) 在图像的处理过程中,RGB 在产生任何一种颜色时其三色都需要同样的带宽, 处理效率不高 ; 而 YCbCr 则有着很高的压缩率和传输率 所以在图像处理过程中, 很多时候也要用到 YCbCr 与 RGB 色彩空间的转换 [5] 4 YCbCr 与 RGB 色彩空间转换原理 CMOS 图像传感器 MT9D 输出 YCbCr(4::) 格式的数据信号,Y 的范围是 (6,35), Cb 和 Cr 的范围是 (6,40) YCbCr(4::) 存放的码流如表, 映射出的像素点如表 所示 表 YCbCr 存放的码流 表 YCbCr 映射出的像素点 YCbCr 色空间到 RGB 色空间的转换公式为 [6] ( 伽玛校正后 ): R=.64( Y 6) +.596( Cr 8) G =.64( Y 6) 0.83( Cr 8) 0.39( Cb 8) () B=.64( Y 6) +.07( Cb 8) 本转换要对运算结果进行四舍五入, 为了提高运算精度, 在等式中加 0.5 同时为了防止相减后为负溢出, 公式变形如下 : R =.64Y +.596Cr G =.64Y 0.83Cr 0.39Cb () B =.64Y +.07Cb 用硬件描述语言在 FPGA 中实现小数乘法, 通常需要将小数转化为 的整数倍幂次方, 取整进行乘法运算后将结果进行移位 本转换运算精度取 位, 这样公式便转化为 : R= [(384 Y Cr ) ] G = [(384 Y ) (665 Cr Cb )] (3) B= [(384 Y + 43 Cb ) 56586] 根据表达式 (3) 可以画出 YCbCr 到 RGB 色空间转换框图 输入的 8bit Y Cb Cr 信号分量分别乘系数后转换成 3bit 信号, 再将各分量加减, 最后通过移位输出 8bitR G B --

3 信号 图 是 G 分量的转换过程,R B 分量转换过程类似 图 YCbCr 到 RGB 色空间转换 (G 分量转换 ) 框图 5 YCbCr 到 RGB 色彩空间转换的 VHDL 语言实现 本转换采用 VHDL 语言描述, 功能分离后按层次进行设计 [7] VHDL 文件层次结构如图 3 所示, 顶层文件为 yuvtorgb, 低层文件 cscc const_multi 实现了格式转换及乘系数运算 下面给出部分程序, 以 G 分量的转换为例 顶层文件 yuvtorgb ( 调用文件 cscc) 调用 低层文件 cscc ( 调用文件 const_multi) 调用 低层文件 const_multi 图 VHDL 文件层次结构 [6,7] 5. 各层次文件的部分程序 () 顶层文件 yuvtorgb port ( clock,reset : in std_logic; datain : in std_logic_vector( 3 downto 0); rout, gout, bout : out std_logic_vector( 7 downto 0) ); y <=datain( 3 downto 6); cb<=datain( 5 downto 8); cr<=datain( 7 downto 0); () 低层文件 cscc port ( clock,reset : in std_logic; y, cb, cr : in std_logic_vector( 7 downto 0); r, g, b : out std_logic_vector( 7 downto 0) ); (3) 低层文件 const_multi colorout<=std_logic_vector(to_unsigned(cst_mult*to_integer( UNSIGNED(co lor)), colorout'length)); 5. G 信号转换 结构体部分 : 定义转换公式右边 Y Cb Cr 的系数以及内部运算信号 constant cst_g_y : integer := 384; -3-

4 constant cst_g_cb : integer := 803; constant cst_g_cr : integer := 665; signal g_y_kcm, g_cb_kcm, g_cr_kcm : std_logic_vector ( downto 0); signal g_cb_cr, g_full : std_logic_vector ( downto 0); 进程部分 : 加减法运算的实现 clock'event and clock = '' then g_cst_y <= g_y_kcm ; g_cb_cr <= g_cb_kcm + g_cr_kcm ; if g_cst_y > g_cb_cr then g_full <= g_cst_y - g_cb_cr; else g_full <= ( others =>'0'); end if; 3 通过移位实现 8 位输出由于最后的运算结果是 3 位的, 其中低 位是为了实现小数乘法, 中间的 8 位是有效的数据位 当 g_full 高 4 位为 0000 时, 右移 位得到 8 位的 G 输出信号 g <= g_full( 8 downto ) when g_full( downto 9)="0000" else ""; 6 ISE9.i 综合及仿真 在 ISE9.i 开发环境下, 用 VHDL 语言, 进行综合及功能仿真 yuvtorgb 模块的 RTL 图如图 4 所示, 综合报告如图 5 所示, 其功能仿真波形如图 6 所示 图 4 yuvtorgb 模块的 RTL 图 图 5 ISE9.i 综合报告 图 6 功能仿真结果 -4-

5 波形仿真时占用内存如下 : Estimate current memory usage:.986 Meg 从 ISE 的综合报告 仿真波形中可以看出, 本转换有转换精度高 占用内存资源少等优点 同时也说明该转换是正确的, 实时性也很高 7 结论 基于 FPGA 的图像采集系统本身设计需求, 采用 VHDL 语言, 功能分离后按层次设计, 完成了 YCbCr(4::) 到 RGB(8:8:8) 图像色彩空间的转换 FPGA 输出的信号再经 D/A 转换, 可直接将 RGB 真彩模拟信号输出到 VGA 接口, 从而使 MT9D 采集到的高分辨率图像数据能够在 VGA 显示器上实时显示 该色彩空间的转换有着比同类变换精度高, 占用内存小的优点, 可以大大提高系统效率, 可广泛应用于现代视频图像处理领域 参考文献 [] 黄焱等. FPGA 应用开发入门与典型实例.[M]. 北京 : 人民邮电出版社, [] XILINX. Spartan-3e FPGA Family: Complete Data Sheet. [ EB/OL ]. [3] Micron. MT9D SOC Digital Image Sensor: Data Sheet. [ EB/OL].Micron Technology, Inc.004. [4] 胡为.DDR SDRAM 在嵌入式系统中的应用.[J]. 单片机与嵌入式系统应用,006,(3). [5] 李成奇. 基于 FPGA 技术的视频采集系统设计及实现.[D]. 哈尔滨. 哈尔滨理工大学.008. [6] Benoit Payette Color Space Converter:RGB to YCbCr.[J].XILINX xapp-637(v.0) 00 [7] 潘松, 黄继业等.EDA 技术实用教程. [M]. 北京 : 科技出版社, Color Space Transform of Image Acquisition System Based on FPGA Xiao Wenjuan, Zhao Guannan, Liang Fengmei College of Information Technology, Taiyuan University of Technology, Taiyuan (03004) Abstract A color space transform is implementation with Xilinx company Spartan-3e family FPGA xc3s50e-5pq08, which is requested in an image acquisition system. It converts the image data whose format is YCbCr (4::)04*768 to RGB(8:8:8).The whole design is described in VHDL. By logic optimization, the transform has an advantage of high accuracy less memory resource utilization. Keywords: image acquisition system;fpga;vhdl;color space transform 作者简介 : 肖文娟 (984), 女, 硕士研究生, 主要研究方向为图像处理 -5-

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1 Chapter 01 Photoshop CS6 的基本操作 本章内容 1.1 Photoshop 的应用领域 1.6 控制面板的显示与隐藏 1.2 位图和矢量图的特性 1.7 新建 打开与保存文件 1.3 像素和分辨率的关系 1.8 图像的缩放 1.4 色彩模式 1.9 屏幕显示模式 1.5 Photoshop CS6 界面 1.10 计算机图形图像常用的色彩模式 Photoshop CS6 艺术设计案例教程

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Total Internet Connectivity in a Single Chip

Total Internet Connectivity in a Single Chip 基 于 SX 微 处 理 器 的 嵌 入 式 Internet 技 术 乐 德 广 及 其 应 用 系 统 的 设 计 1 1, 郭 东 辉, 刘 瑞 堂 1, Gerard Parr 2 1. 厦 门 大 学 技 术 物 理 研 究 所 厦 门 361005 2. 英 国 Ulster 大 学 信 息 学 院 N.Ireland, BT52 1SA 摘 要 : SX 微 处 理 器 是 美 国 Scenix

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 200030003 UDC 2003 8 2003 9 2003 Hardware Platform for 2D Barcode Reader Techniques Thesis for the degree of Master of Science By Liu Zhenyu (Electronic Engineering Dept., Xiamen University, P.R.

More information

2002/06/25

2002/06/25 2002/06/25 ... 1 GPU... 1 1.... 1 2.... 1 3.... 2 4.... 2 5.... 2 6. MX460... 3 6.1... 3 6.2... 4 7. MX440... 5 7.1... 5 7.2... 6 8. MX420... 7 8.1... 7 8.2... 8 9. MX420D... 9 9.1... 9 9.2... 10 10....11

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

<4D6963726F736F667420576F7264202D2032303135C4EAD5D0C9FABCF2D5C22DCDEAD5FBB0E632303134303932392DB8C4A3A8C5C5A3A92E646F63>

<4D6963726F736F667420576F7264202D2032303135C4EAD5D0C9FABCF2D5C22DCDEAD5FBB0E632303134303932392DB8C4A3A8C5C5A3A92E646F63> 目 录 学 校 概 况 1 报 考 指 南 3 西 南 科 技 大 学 25 年 全 日 制 硕 士 研 究 生 招 生 专 业 目 录 9 学 术 型 专 业 招 生 目 录 9 专 业 学 位 招 生 目 录 25 学 术 型 复 试 科 目 36 专 业 学 位 复 试 科 目 42 西 南 科 技 大 学 25 年 硕 士 研 究 生 招 考 问 答 47 招 生 咨 询 50 招 生 学

More information

深圳市太光电信股份有限公司章程

深圳市太光电信股份有限公司章程 神 州 数 码 信 息 服 务 股 份 有 限 公 司 章 程 二 O 一 五 年 十 二 月 1 目 录 第 一 章 总 则... 1 第 二 章 经 营 宗 旨 和 范 围... 2 第 三 章 股 份... 3 第 一 节 股 份 发 行... 3 第 二 节 股 份 增 减 和 回 购... 4 第 三 节 股 份 转 让... 5 第 四 章 股 东 和 股 东 大 会... 6 第 一

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

22 Industry and Information Technology Education CPU 70 10ACM IEEE/CS

22 Industry and Information Technology Education CPU 70 10ACM IEEE/CS 1,2 G642.0 A 2095-5065 2013 12-0021-23 0 2013-12-5 1957 1 21 22 Industry and Information Technology Education CPU 70 10ACM IEEE/CS 23 23 80% ACM IEEE/CS19912000 2004 ACM IEEE/CS ACM IEEE/CS 70 24 Industry

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

untitled

untitled 立 法 會 CB(2)2292/04-05(01) 號 文 件 ( 立 法 會 秘 書 處 撮 譯 本, 只 供 參 考 用 ) ( 香 港 律 師 會 用 箋 ) 民 政 事 務 局 許 鄔 芸 芸 女 士 : 閣 下 2005 年 7 月 5 日 來 函 收 悉 2005 年 收 入 ( 取 消 遺 產 稅 ) 條 例 草 案 律 師 會 遺 產 事 務 委 員 會 研 究 了 政 府 當 局

More information

《美国名将全传——德怀特·戴维·艾森豪威尔》

《美国名将全传——德怀特·戴维·艾森豪威尔》 !! " !! "#$%& ( #)*%+,%-./ 0$.1 "2345625627824946:6;3 " " < = > " " " = = " "!! "; "247; =? 2477 9 @ A "B C=B C=B C=B C A " D @ A (.(! "#$% "% "& ( ( ) ) * + )! ) ) )",-. ) ) ) ) ) ) "### ) "% ) ( ( )

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 20-1 - 1.... 3 1.1....3 1.2. GV-R96P128D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

c_R9000PRO_101

c_R9000PRO_101 Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2002 9 3-1 - 1.... 3 1.1.... 3 1.2. GV-R9000 PRO... 3 2.... 4 2.1.... 4 2.2.... 5 2.3.... 6 3.... 8 3.1. Windows 98/98SE Windows ME Windows

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 13-1 - 1.... 3 1.1....3 1.2. GV-R98P256D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

自動控制工程學系專題製作

自動控制工程學系專題製作 The Diagnosis and Identification of Iridology ii C++ Borland C++ Builder C++ Builder C++ C++ Builder CCD meeting iii iv ABSTRACT In this research is the design of the system for diagnosis and identification

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

Tanet

Tanet TANET2007 臺 灣 網 際 網 路 研 討 會 論 文 集 二 直 接 操 控 模 式 之 互 動 式 遊 戲 設 計 以 網 路 攝 影 機 應 用 為 例 The Study of Interactive Game with Direct Manipulation Function An Application of Webcam 徐 健 桓 陳 宇 綱 楊 峻 羽 國 立 台 中 技

More information

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20BAFEC4CFBFC6B4B4D0C5CFA2BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C23232C8D5B1A8CBCDA3A92E646F63> 创 业 板 风 险 提 示 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险

More information

我国高速公路建设管理现状和主要问题

我国高速公路建设管理现状和主要问题 Modern Management 现 代 管 理, 2012, 2, 24-28 http://dx.doi.org/10.12677/mm.2012.21005 Published Online January 2012 (http://www.hanspub.org/journal/mm) China Highway Current Situation and Problem of Construction

More information

2

2 Digital Image Processing Color Model Dr.Ji Zhen Faculty of Information Engineering, SZU 2003.01 1 2 3 400-700 nm ( ) CIE " " 380 780 nm " " 400 nm ( ) 700 nm ( ) 4 ( ) A: B: C: D: E: 5 Hue (Saturation)

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

你的第一本 Photoshop 书 图 1.3 图 1.4 RGB 图 1.5 图 三原色光的概念 R Red G Green B Blue RGB RGB R B 3 1 RGB RGB 256 0~ RGB

你的第一本 Photoshop 书 图 1.3 图 1.4 RGB 图 1.5 图 三原色光的概念 R Red G Green B Blue RGB RGB R B 3 1 RGB RGB 256 0~ RGB 第 1 章色彩基础知识 Photoshop Photoshop 1.1 RGB 色彩模式 1.1 1.2 图 1.1 图 1.2 Photoshop sample0101.png 1.3 > CTRL O Windows Photoshop Photoshop Photoshop F8 > 1.4 B R 你的第一本 Photoshop 书 图 1.3 图 1.4 RGB 1.5 1.6 图 1.5

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

2011年自动化、电气类教材书目.doc

2011年自动化、电气类教材书目.doc 科 学 出 版 社 2011 年 自 动 化 电 气 类 教 材 一 高 等 院 校 本 科 生 教 材 1. 电 气 信 息 类 基 础 课 程 教 材 课 程 名 书 号 书 名 定 价 著 译 者 备 注 页 码 978-7-03-019768-9 电 路 分 析 30.00 董 维 杰 等 辽 宁 省 精 品 课 程 1 978-7-03-017502-6 电 路 分 析 30.00 胡 建

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 24-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Windows 98/98SE Windows ME Windows XP 8 3.1.1....8

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

第 03 期 刘高军等 : 基于 CNONIX 的 XML 与 EXCEL 相互转换技术研究 XML XML CNONIX XML EXCEL EXCEL EXCEL EXCEL CNONIXEXCEL XML EXCEL CNONIX XML EXCEL CNONIX 1 CNONIX 数据元分析

第 03 期 刘高军等 : 基于 CNONIX 的 XML 与 EXCEL 相互转换技术研究 XML XML CNONIX XML EXCEL EXCEL EXCEL EXCEL CNONIXEXCEL XML EXCEL CNONIX XML EXCEL CNONIX 1 CNONIX 数据元分析 电子科学技术电子科学技术第 02 卷第 03 期 Electronic 2015 年 Science 5 月 & Technology Electronic Science & Technology Vol.02 No.03 May.2015 年 基于 CNONIX 的 XML 与 EXCEL 相互转换技术研究 刘高军, 李丹, 程利伟, 钱程, 段然 ( 北方工业大学计算机学院, 北京,100144)

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

:,,?,?,,,,,,, 1 ( ) (. ) ( ) :,?? :,,,,, ( ) (. ) : (. ) ( ),,, ( ) ( ), (. ), ( ) ( ) - (. - ) (. ) ;, (. ) ( ),, ( ),,, : ( - ), ( - - ) ( ) (. ),,,

:,,?,?,,,,,,, 1 ( ) (. ) ( ) :,?? :,,,,, ( ) (. ) : (. ) ( ),,, ( ) ( ), (. ), ( ) ( ) - (. - ) (. ) ;, (. ) ( ),, ( ),,, : ( - ), ( - - ) ( ) (. ),,, * 以徐家村为例 黄玉琴 :, -.' : '.,,??,., :,. -,,,,. : -,, - -.,, '. 1,, ( ) ( ) 2 3,,, 4 :? :,,? :,,,,,,!,,!!! :, 5, * 1 : ; : 2 :,, ;,, 3 :,, 4 :, 5 :, :,,?,?,,,,,,, 1 ( ) (. ) ( ) :,?? :,,,,, ( ) (. ) : (. )

More information

GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 11 6-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Win XP... 8 3.1.1....8 3.1.2. DirectX...9 3.1.3.... 11 3.1.4....15

More information

标题

标题 增幅名列广西第一 增幅名列广西第一 防城港市 2008 ~ 2009 年发展回顾与展望 吴东海 尹晓洲 摘 要: 2008 年防城港市生产总值突破 200 亿元, 达到 212 18 亿元, 增长 20 1%, 增幅名列广西第一 主要经济指标增幅保持在广西前列, 开 放发展成就突出, 各项社会事业全面发展 2009 年, 防城港市将以钢铁 核电两大项目为引领, 以 项目建设攻坚年 为主题, 大力实施产业发展

More information

目 录 一 重 要 提 示... 3 二 公 司 主 要 财 务 数 据 和 股 东 变 化... 3 三 重 要 事 项... 8 四 附 录... 15 2 / 28

目 录 一 重 要 提 示... 3 二 公 司 主 要 财 务 数 据 和 股 东 变 化... 3 三 重 要 事 项... 8 四 附 录... 15 2 / 28 公 司 代 码 :600549 公 司 简 称 : 厦 门 钨 业 厦 门 钨 业 股 份 有 限 公 司 2015 年 第 三 季 度 报 告 1 / 28 目 录 一 重 要 提 示... 3 二 公 司 主 要 财 务 数 据 和 股 东 变 化... 3 三 重 要 事 项... 8 四 附 录... 15 2 / 28 一 重 要 提 示 1.1 公 司 董 事 会 监 事 会 及 董 事

More information

Microsoft Word - shenbaocailiao.doc

Microsoft Word - shenbaocailiao.doc 附 件 13: 陕 西 高 等 学 校 本 科 实 验 教 学 示 范 中 心 申 请 书 推 荐 单 位 : 西 北 工 业 大 学 明 德 学 院 学 校 名 称 : 西 北 工 业 大 学 明 德 学 院 中 心 名 称 : 电 子 与 通 信 实 验 教 学 中 心 中 心 网 址 : http://www.npumd.cn/teach/mingde/index.htm 中 心 联 系 电

More information

c-AR64SH-102

c-AR64SH-102 Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows NT 40

More information

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE LED 培 训 教 材 目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LED 显 示 屏 系 统 要 求 和 安 装...6 七 LED 全 彩 屏 系

More information

标准名称

标准名称 ICS 35.040 L80 中 华 人 民 共 和 国 国 家 标 准 GB/T 信 息 安 全 技 术 信 息 系 统 安 全 等 级 保 护 实 施 指 南 Information Security Technology- Implementation guide for classified protection of information system - - 发 布 - - 实 施 中

More information

上冊 淨 空 法 師 講述 上冊 彩色圖文 新編出版 全三冊 地 藏 經 講 記 上 冊 目 錄 前 言 004 緣 起 005 正 釋 經 文 005 忉 利 天 宮 神 通 品 第 一 005 分 身 集 會 品 第 二 115 觀 眾 生 業 緣 品 第 三 139 閻 浮 眾 生 業 感 品 第 四 165 前 言 以 往 每 遇 新 建 道 場 請 我 講 經, 首 先 必 講 地 藏

More information

自然科学版 预处理 视盘粗定位 视盘垂直坐标的粗定位 视盘水平坐标的粗定位

自然科学版 预处理 视盘粗定位 视盘垂直坐标的粗定位 视盘水平坐标的粗定位 自然科学版 文章编号 视网膜图像中视盘的快速自动定位方法 赵晓芳 林土胜 李碧 摘 要 基于眼底视网膜血管的分布结构及视盘本身的特点 提出一种快速自动定位视盘的方法 首先根据视网膜血管的网络分布结构大致定位视盘的垂直坐标 然后根据视盘 的亮度信息及视盘与血管的关系来定位视盘的水平坐标 最后把视盘限定在以粗定位的视盘为中心的一个小窗口内 用 变换精确定位视盘中心 该方法不需要事先分割视网膜血管 也不需要对算法进行训练

More information

2

2 自主设置目录外二级学科备案表 2012 11 6 中国地质大学 1 0 4 9 1 0 8 1 8 z 3 地学信息工程 Geosciences Information Engineering 0 8 1 8 地质资源与地质工程 学科概况简要描述 ( 主要包括学科内涵 研究内容 ) 1 2 该学科学术带头人和学术梯队简介 1945-10 19 11 15 8 1975-03 2002-06 7 7

More information

彩色地图中道路的识别和提取

彩色地图中道路的识别和提取 9310016, i ii Abstract This thesis is on the researching of recognizing the roads in map image by computer. Based on the theory of Pattern Recognition, there is a method to be discussed, which can recognize

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) =

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) = 2007 4 4 :100026788 (2007) 0420114206, (, 430074) :,,,,,,GIS.,,. : ; ; ; ; : TP391 ;P338 : A Development of Combinatorial Intelligentized Decision2Making Support System and Its Utilization in Runoff Forecasting

More information

<4D6963726F736F667420576F7264202D20B2F8A74AA4AF5FA578C657A175BCC6A6ECB6D7AC79A176BB50A46AB3B0A175A454BAF4A658A440A176AC46B5A6A641B1B4>

<4D6963726F736F667420576F7264202D20B2F8A74AA4AF5FA578C657A175BCC6A6ECB6D7AC79A176BB50A46AB3B0A175A454BAF4A658A440A176AC46B5A6A641B1B4> 2012 數 位 創 世 紀 學 術 實 務 國 際 研 討 會 徵 文 論 文 題 目 台 灣 數 位 匯 流 與 大 陸 三 網 合 一 政 策 再 探 The Continue Exploring Study on Policies of Taiwan s Digital Convergence and Mainland s Triple Play 作 者 : 莊 克 仁 Author: Ke-jen

More information

在 職 受 訪 者 的 收 入 方 面, 他 們 的 每 月 收 入 中 位 數 為 7,900 元 2 受 訪 者 的 每 月 收 入 遠 低 於 本 港 個 人 入 息 中 位 數 (2013 年 第 一 季 度 的 個 人 入 息 中 位 數 為 12,000 元 ) 殘 疾 類 別 中, 患

在 職 受 訪 者 的 收 入 方 面, 他 們 的 每 月 收 入 中 位 數 為 7,900 元 2 受 訪 者 的 每 月 收 入 遠 低 於 本 港 個 人 入 息 中 位 數 (2013 年 第 一 季 度 的 個 人 入 息 中 位 數 為 12,000 元 ) 殘 疾 類 別 中, 患 立 法 會 CB(2)1548/12-13(03) 號 文 件 LC Paper No. CB(2)1548/12-13(03) 香 港 復 康 會 研 究 及 倡 議 中 心 協 辦 : 關 注 傷 津 檢 討 聯 席 殘 疾 人 士 及 長 期 病 患 者 的 生 活 狀 況 和 領 取 傷 殘 津 貼 的 情 況 問 卷 調 查 撮 要 背 景 由 香 港 復 康 會 研 究 及 倡 議 中

More information

前 言 版 权 2014 Vivitek Corporation. 是 Vivitek Corporation 的 商 标 其 他 商 标 是 其 各 自 所 有 者 的 资 产 值 重 量 和 尺 寸 等 均 为 近 似 值 规 格 如 有 变 更, 恕 不 另 行 通 知 免 责 声 明 本 文

前 言 版 权 2014 Vivitek Corporation. 是 Vivitek Corporation 的 商 标 其 他 商 标 是 其 各 自 所 有 者 的 资 产 值 重 量 和 尺 寸 等 均 为 近 似 值 规 格 如 有 变 更, 恕 不 另 行 通 知 免 责 声 明 本 文 前 言 版 权 2014 Vivitek Corporation. 是 Vivitek Corporation 的 商 标 其 他 商 标 是 其 各 自 所 有 者 的 资 产 值 重 量 和 尺 寸 等 均 为 近 似 值 规 格 如 有 变 更, 恕 不 另 行 通 知 免 责 声 明 本 文 档 中 的 信 息 如 有 变 更, 恕 不 另 行 通 知 制 造 商 对 于 本 文 档 中 的

More information

NAC-300 user manual

NAC-300 user manual NAC-300N/ NAC-300P - 1 - NAC-300N/ NAC-300P ( 1.0.0) 2006 2006 1 27-2 - - 3 - NAC-300... 6 1.1... 6 1.2... 7 1.3... 8 1.4... 9 NAC-300... 10 2.1 NAC-300...10 2.2 NAC-300...12 NAC-300... 14 3.1...14 3.2

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

!"# $ %&'!"#$

!# $ %&'!#$ !"# $ %&'!"#$ 内容简介本书是在作者已经出版的 XilinxAlProgrammableZynq-7000SoC 设计指南 一书的基础上进行大幅度修订而成的 本书的一大特色就是更加突出 ARMCortex-A9 双核处理器的使用 此外, 在修订本书时采用了 Xilinx 最新的 Vivado2015 4 集成开发环境 通过本书的修订, 能反映最新的 ARM 嵌入式设计技术和实现方法, 同时也能更加凸显采用异构架构的

More information

207X满永

207X满永 近 现 代 历 史 研 究 20 世 纪 50 年 代 中 期 的 农 业 合 作 化 危 机 与 应 对 基 于 皖 西 北 的 考 察 满 永 内 容 提 要 20 世 纪 50 年 代 的 农 业 合 作 化, 出 现 过 两 次 波 折 一 是 1955 年 春 的 生 产 力 暴 动, 二 是 1957 年 前 后 的 闹 退 社 风 潮 生 产 力 暴 动 带 来 了 短 暂 的 合 作

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

实 践 探 讨 高 丽 : 从 少 数 民 族 大 学 生 的 阅 读 需 求 看 民 族 院 校 图 书 馆 的 资 源 建 设 有 区 域 性 和 民 族 性 很 强 的 传 统 学 科 特 色 学 科 及 优 势 学 科, 因 此 图 书 馆 的 资 源 建 设 也 要 顺 应 这 一 特 性

实 践 探 讨 高 丽 : 从 少 数 民 族 大 学 生 的 阅 读 需 求 看 民 族 院 校 图 书 馆 的 资 源 建 设 有 区 域 性 和 民 族 性 很 强 的 传 统 学 科 特 色 学 科 及 优 势 学 科, 因 此 图 书 馆 的 资 源 建 设 也 要 顺 应 这 一 特 性 中 国 科 技 资 源 导 刊 ISSN 1674-1544 2010 年 7 月 第 42 卷 第 4 期 72-77 CHINA SCIENCE & TECHNOLOGY RESOURCES REVIEW ISSN 1674-1544 Vol.42 No.4 72-77,Jul. 2010 从 少 数 民 族 大 学 生 的 阅 读 需 求 看 民 族 院 校 图 书 馆 的 资 源 建 设 高

More information

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD (GBT ) GBT GBT, GBT 2002 10 31-1 - 1 11 3 12 GV-R7500L 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 15 315 15 316 22 32 Windows

More information

致理技術學院

致理技術學院 致 理 技 術 學 院 商 務 科 技 管 理 系 實 務 專 題 報 告 彩 繪 指 甲 預 覽 系 統 指 導 老 師 : 彭 建 文 博 士 學 生 : 蕭 全 佑 (19833150) 鄭 任 翔 (19833111) 林 育 璇 (19833114) 中 華 民 國 101 年 12 月 致 理 技 術 學 院 商 務 科 技 管 理 系 實 務 專 題 報 告 彩 繪 指 甲 預 覽 系

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

协 议 尊 享 课 程 幼 儿 园 小 学 综 合 素 质 5 课 时 专 项 练 习 幼 儿 保 知 识 与 能 5 课 时 小 学 学 知 识 与 能 5 课 时 知 识 与 能 5 课 时 作 文 批 幼 儿 园 小 学 综 合 素 质 - 作 文 2 次 全 真 模 考 班 幼 儿 园 小

协 议 尊 享 课 程 幼 儿 园 小 学 综 合 素 质 5 课 时 专 项 练 习 幼 儿 保 知 识 与 能 5 课 时 小 学 学 知 识 与 能 5 课 时 知 识 与 能 5 课 时 作 文 批 幼 儿 园 小 学 综 合 素 质 - 作 文 2 次 全 真 模 考 班 幼 儿 园 小 2016 年 上 半 年 全 国 小 学 师 资 格 统 考 课 程 班 级 名 称 课 程 内 容 课 时 费 用 全 程 协 议 班 笔 试 通 关 班 优 惠 套 餐 初 / 高 笔 试 + 面 试 (4 天 精 品 ) 26 天 + 海 协 议 尊 享 课 程 +4 天 ( 面 试 ) 幼 儿 园 / 小 学 笔 试 + 面 试 (4 天 精 品 ) 20 天 + 海 协 议 尊 享 课 程

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

Microsoft Word - KSAE06-S0262.doc

Microsoft Word - KSAE06-S0262.doc Stereo Vision based Forward Collision Warning and Avoidance System Yunhee LeeByungjoo KimHogi JungPaljoo Yoon Central R&D Center, MANDO Corporation, 413-5, Gomae-Ri, Gibeung-Eub, Youngin-Si, Kyonggi-Do,

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information