EDA cloud Cell-base Flow 使用說明 在 EDA Cloud 執行 Cell-base Flow 其實與傳統流程相似, 但仍有一些操作 細項略微不同, 因此本文將針對這部分以 Lab 範例型式作解說 本範例說明, 是以 TSMC90UTM 製程為例, 設計內容包含 Standa

Size: px
Start display at page:

Download "EDA cloud Cell-base Flow 使用說明 在 EDA Cloud 執行 Cell-base Flow 其實與傳統流程相似, 但仍有一些操作 細項略微不同, 因此本文將針對這部分以 Lab 範例型式作解說 本範例說明, 是以 TSMC90UTM 製程為例, 設計內容包含 Standa"

Transcription

1 EDA cloud Cell-base Flow 使用說明 在 EDA Cloud 執行 Cell-base Flow 其實與傳統流程相似, 但仍有一些操作 細項略微不同, 因此本文將針對這部分以 Lab 範例型式作解說 本範例說明, 是以 TSMC90UTM 製程為例, 設計內容包含 Standard Cells Memory Cells( 含 Memory BIST 電路 ) IO Pads 1 Simulation Tool NC-verilog/VCS/Modelsim 1.1 模擬前準備 為方便模擬, 一般會先將模擬之相關檔案編輯成一個檔案 vlog.f 如下 1

2 解說 : 1. 除了 tpzn90gv3.v 是 CBDK 的 IO Pad Verilog 檔案, 其餘皆為自己編輯的 Verilog, 因此那些檔案, 直接給 相對路徑 即可 2. 由於 EDA cloud 的所有 CBDK 都擺在 /cad 目錄裡, 使用任何一個 CBDK 檔案前可先到 /cad/cbdk 裡查詢, 在本例皆以 TN90GUTM 製程為例, 故使用時請先切換到 /cad/cbdk/cbdk_tsmc90gutm_arm_v1.2/cic 目錄, 整個 Cell-base Flow 會用到相關檔案皆在此目錄可找到 3. RTL 模擬, 在此只會用到 tpzn90gv3.v, 故可在 /cad/cbdk/cbdk_tsmc90gutm_ Arm_v1.2/CIC/Verilog 目錄裡找到該檔案, 其餘 CBDK 使用與查詢方式皆與此範例相似, 也與以往操作模式一樣 1.2 使用 NC-Verilog 作模擬 Rncverilog -f vlog.f 1.3 使用 VCS 作模擬 Rvcs -R -f vlog.f 2

3 1.4 使用 Modelsim 作模擬 Rvsim 註 1:Modelsim 後續使用方式與以往操作方式相同 註 2: 在 EDA Cloud 執行任何程式請勿加上 &( 背景執行符號 ) 2 HDL Debug Tool Verdi/nLint/nWave 2.1 Verdi/nLint/nWave 之開啟方式 a. 開啟 Verdi: Rverdi b. 開啟 Verdi, 同時 Import 整個 CHIP 會用到的相關檔案 : Rdc_shell f vlog.f 註 : vlog.f 內容與前一節所述之 vlog.f 內容完全相同 c. 開啟 nlint, 含 GUI 介面及指定 IPQ 之 Rule: RnLint -udr. rs IPQ.rs -drm -gui d. 開啟 nwave: RnWave e. 開啟 nwave, 同時 Restore 需要觀察之訊號 RnWave -sswr wave.rc 3 Synthesis Tool Design Compiler 3.1 合成前準備 編輯.synopsys_dc.setup 3

4 解說 : 1. 上圖方框處為 Memory DB Files, 請依 Design 需求自行產生 接著將這些檔案之路徑自行填上於 search_path, 在此範例為../lib 2. 上圖方框以外的 DB Files, 皆為合成時會使用到的 DB 檔案 要如何知道 TN90GUTM 有哪些 DB 檔案才能加入這些 DB 檔案, 可自行到 /cad/cbdk/ CBDK_TSMC90GUTM_Arm_v1.2/CIC/SynopsysDC/db 裡查詢 接著透過 search_path 的設定, 自行加上 /cad/cbdk/cbdk_tsmc90gutm_ Arm_v1.2/CIC/SynopsysDC/db, 合成軟體便可在此找到這些 DB 檔案 3.2 Design Compiler 開啟方式 a. 開啟 DC 需要有 GUI 介面 : Rdv b. 開啟 DC 不要有 GUI 介面 : Rdc_shell c. 想要查詢 EDA Cloud 有提供那些 DC 版本 : 4

5 d. DC 的 cur 為預設開啟版本 sp4, 如何切換成 sp5: 0 e. 開啟 DC 不要有 GUI 介面, 使用 sp4 版本, 同時執行 Script 檔案 : Rdc_shell -f../script/00_run.tcl 註 : 00_run.tcl 假設為自己編輯給 DC 合成之 Script 檔案 f. 開啟 DC 不要有 GUI 介面, 使用 sp5 版本, 同時執行 Script 檔案 : 0 -f../script/00_run.tcl g. 開啟 DC 需要有 GUI 介面, 使用 sp5 版本, 同時執行 Script 檔案 : 0 -f../script/00_run.tcl 4 Timing & Power Analysis PrimeTime 4.1 PrimeTime 使用前之準備 編輯.synopsys_pt.setup, 內容與前一節.synopsys_dc.setup 相同 4.2 PrimeTime 之開啟方式 a. 開啟 PrimeTime, 同時開啟 GUI 介面 : Rprimetime b. 開啟 PrimeTime, 不要開啟 GUI 介面 : Rpt_shell c. 開啟 PrimeTime, 不要開啟 GUI 介面, 同時執行 script: Rpt_shell -f pt_script.tcl 5 Formal Verification Formality 5.1 Formality 之開啟方式 a. 開啟 Formality, 同時開啟 GUI 介面 : 5

6 Rformality b. 開啟 Formality, 不要開啟 GUI 介面 : Rfm_shell c. 開啟 Formality, 不要開啟 GUI 介面, 同時執行 script: Rfm_shell -f fm_script.tcl 6 ATPG Tool TetraMAX 6.1 TetraMAX 之開啟方式 a. 開啟 TetraMAX, 同時開啟 GUI 介面 : Rtmax b. 開啟 TetraMAX, 同時執行 script: Rtmax -shell tmax_script.tcl 7 Memory Compiler Tool 7.1 Memory Compiler 工具查詢方式 Memory Compiler 在 TN90GUTM 製程提供了多種 Memory 類型, 要如何知道提供那些 Memory, 首先先 cd 進入到 /cad/cbdk/cbdk_tsmc90gutm_arm_v1.2/ CIC/Memory 目錄, 可以見得 TN90GUTM 共提供五種記憶體, 包括 sram_sp_adv sram_dp_adv rf_sp_adv rf_2p_adv rodsd 等, 但這些檔案不可以在前台直接執行, 故執行 Memory 執行檔與以往不同, 其操作新方式如下說明 7.2 Memory Compiler 開啟方式 a. 開啟 Single Port SRAM 工具 : Rsram_sp_adv 註 : 請按照上述指令打, 在 EDA cloud 勿將語法打成 /CBDK/CBDK_TSMC90GUTM_Arm_v1.2/CIC/Memory/sram_sp_adv/bin/sram_sp_adv b. 開啟 Dual Port SRAM 工具 : Rsram_dp_adv 6

7 c. 開啟 Single Port Register File 工具 : Rrf_sp_adv d. 開啟 Two Port SRAM 工具 : Rrf_2p_adv e. 開啟 ROM 工具 : Rrodsd f. 開啟 Single Port SRAM 工具, 同時給予已經設定好的 SPEC 檔 : Rsram_sp_adv -spec sram_1024x8.spec 註 : sram_1024x8.spec 只是個隨意舉例之 spec 檔 8 Generate Memory FRAM View - Milkyway 8.1 FRAM View 產生方式 晶片佈局時若選擇使用 IC Compiler 作實現,Memory 的部份必須先將 LEF 轉換 FRAM View, 其轉換方式如下說明 step1: 準備 lef2fram.scm 檔案, 此檔案可以從 /cad/cbdk/cbdk_tsmc90gutm_ Arm_v1.2/CIC/ICC/lef2fram.scm 複製過來 step2: 修改 lef2fram.scm 部分內容, 如下舉例 (1) lib_name: 請給實際 Memory 的名稱,(2)tech_file:TSMC90UTM 必須設定為 /cad/cbdk/cbdk_ TSMC90GUTM_Arm_v1.2/CIC/ICC/tsmc090_9lm_2thick_cic.tf,(3)data_path: 請給 Memory 之 VCLEF 檔案的相對位子 step3: 開啟 Synopsys Milkyway Tool, 並執行該 script 檔案, 即可產生 FRAM View RMilkyway -galaxy -nogui -load lef2fram.scm 7

8 9 Memory BIST Circuit Syntest TurboBIST 9.1 使用 TurboBIST 產生 BIST Circuit 方式 Rsrambist dprf_512x32 -bcf_file test.bcf -algorithm MARCH_CM 註 :dprf_512x32 只是隨意舉例的 Memory, 其 mdf 檔案請自行編輯 10 APR Tool Part1 IC Compiler 10.1 Layout 前準備 編輯.synopsys_dc.setup 與 Design Compiler 完全相同即可 10.2 開啟 IC Compiler(ICC) a. 開啟 ICC 需要有 GUI 介面 : Ricc_shell -gui b. 開啟 ICC 不需要有 GUI 介面 : Ricc_shell c. 開啟 ICC 需要有 GUI 介面, 同時執行 script 檔案 : Ricc_shell -gui -f icc_script.tcl 10.3 ICC 使用過程之注意事項 因 EDA cloud 關係, 使用 ICC 雖然與以往相同, 但是 TSMC 相關機密檔案, 會看得到檔名或目錄卻無法直接讀取, 但 IC Compiler 執行時卻可以使用 例如下圖中, 當執行 ls 指令, 卻看到像 tpzn90gv3 等目錄或檔案會呈現紅色, 表示該檔案或目錄與台積電有關, 使用者會無法直接讀取, 但 IC Compiler 卻可以正常使用與讀取, 所以請使用者遇到這問題時, 安心地造以往流程操作即可! 8

9 11 APR Tool Part2 SOC Encounter 11.1 開啟 SOC Encounter (SOCE) a. 開啟 SOCE 需要有 GUI 介面 : Rencounter 11.2 SOCE 使用過程之注意事項 因 EDA cloud 關係, 使用 SOCE 雖然與以往相同, 但是 TSMC 相關機密檔案, 會看得到檔名或目錄卻無法直接讀取, 但 SOC Encounter 執行時卻可以使用 例如下圖中, 當執行 ls 指令, 卻看到像 antenna_9lm.lef 等檔案會呈現紅色, 表示該檔案與台積電有關, 使用者會無法直接讀取, 但 SOC Encounter 卻可以正常使用與讀取, 所以請使用者遇到這問題時, 安心地按照以往流程操作即可! 12 DRC Verification Qentry DRC/Qcalibre DRC 在 EDA cloud 系統裡, 真實的 DRC command file 並不存在於 CBDK 目錄裡, 因為這會牽扯到 TSMC 機密資料外洩問題, 所以無法直接用 Calibre 軟體作 off-line DRC 驗證, 為解決這問題,CIC 在此提供兩程式 Qentry DRC Qcalibre DRC, 可作 off-line DRC 驗證, 使用說明如下 12.1 Qentry DRC 概念 即以往 CIC 於 Queue server 系統提供的程式, 它可將 SOCE 或 ICC 晶片佈局完的 GDS, 直接置換成真 Layout, 包含 Standard Cell IO Pad Bonding Pad on-chip Memory 等, 所以使用本程式為真 Layout 版的 DRC 驗證 12.2 Qentry DRC 使用方法 Step1: 完成晶片佈局, 產生假 Layout GDS 檔 Step2: 如果有 on-chip Memory Cell, 請準備 spec 檔 如果有 ROM, 請多準備 DAT 檔案 9

10 Step3: 開始執行 Qentry DRC 程式, 本範例之語法如下 : Qentry -M DRC -tech TSMC90GUTM -f CHIP_icc.gds -T CHIP -s dpsram_4096x16.spec -t t90utm_sram_dp_adv -s dprf_512x32.spec -t t90utm_rf_2p_adv -s sprf_512x32.spec -t t90utm_rf_sp_adv -s rom_2048x32.spec -t t90utm_rodsd -rom rom_2048x32.dat -c TSMC90GUTM_rvt -c TSMC90GUTM_hvt -i TSMC90GUTM -addtagcell -adddummycell -o CHIP_netlist 註 : 查詢 Qentry DRC 語法與參數, 可以直接打 Qentry 即可! Step4: 驗證過程中, 隨時可以打 showq, 觀察 Qentry DRC 執行狀況 12.3 Qentry DRC 驗證結果之觀察方法 當執行 showq 指令觀察 Qentry 執行狀況的 Log 訊息, 假若 quser 那行消失, 表示 Qentry DRC 已經驗證完畢 驗證完畢後會產生類似 result_ _andy_ DRC_ st102_9223 的目錄, 可以先觀察 DRC.rep 檔案, 觀察 DRC 有無錯誤, 或使用 Calibre RVE 直接觀察 DRC 錯誤狀況 注意 :Calibre -rve 在 EDA cloud 系統無法直接開啟, 必須透過 Laker 軟體間接呼叫 Calibre RVE 工具, 方法如下 Step1: 先將 Qentry 自動產生的 result_ _andy_drc_st102_9223 目錄內 含 DRC_RES.db 檔案, 請先複製到自己可以存取的目錄裡 10

11 Step2: 開啟 Laker 軟體 Rlaker Step3: 因為 Qentry DRC 驗證後, 並無產生真 Layout 的 GDS, 所以請先自行產 生假 Layout 的 GDS 檔案, 這樣就可以用真 Layout 的 DRC 驗證結果卻用假 Layout 觀察 DRC 錯誤發生的方位 Step4: 用 Laker 先開啟 Layout 圖 Step5: 開啟 Calibre RVE 方法 : 點選 Verify -> Calibre -> Start RVE. Step6: 點選 DRC_RES.db 檔案, 即可開啟 Qentry DRC 驗證結果 12.4 Qcalibre DRC 概念 Qentry DRC 屬於真 Layout 版的 DRC 驗證,Qcalibre DRC 屬於假 Layout 版的 DRC 驗證, 躺若設計者已有所有 Cell 的真 Layout GDS 檔, 也可以用 Qcalibre DRC 做驗證, 其驗證方法與以往操作 Calibre DRC 方式完全一樣, 唯一不同是,Qcalibre DRC 由於資源有限, 最多只能用到四顆 CPU 的資源, 但總比 Qentry DRC 只能用一顆 CPU 更是快速許多 12.5 Qcalibre DRC 使用方法 Step1: 完成晶片佈局, 產生 GDS 檔 Step2: 請複製 /cad/cbdk/cbdk_tsmc90gutm_arm/cic/calibre/drc/ TN90GUTM_DRC.rule 到執行 Qcalibre DRC 的目錄, 並修改 TN90GUTM_DRC.rule 內容如下 註 1: LAYOUT PATH => 填寫 GDS 檔案 註 2: LAYOUT PRIMARY => 填寫最上層 Cell 名稱 11

12 Step3: 開始執行 Qcalibre DRC 程式 Qcalibre -drc -hier -turbo_all TN90GUTM_DRC.rule 12.6 Qcalibre DRC 驗證結果之觀察方法 當執行 showq 指令觀察 Qentry 執行狀況的 Log 訊息, 假若 quser 那行消失, 表示 Qcalibre DRC 已經驗證完畢 驗證完畢後會產生 CALIBRE_result 的目錄, 可以先觀察 DRC.rep 檔案, 觀察 DRC 有無錯誤, 或使用 Calibre RVE 直接觀察 DRC 錯誤狀況 注意 :Calibre rve 在 EDA cloud 系統無法直接開啟, 必須透過 Laker 軟體間接呼叫 Calibre RVE 工具, 方法如下 Step1: 先將 Qcalibre DRC 自動產生的 CALIBRE_result 目錄內含 DRC_RES.db 檔 案, 請先複製到自己可以存取的目錄裡 Step2: 開啟 Laker 軟體 Rlaker Step3: 用 Laker 先開啟 Layout 圖 Step4: 開啟 Calibre RVE 方法 : 點選 Verify -> Calibre -> Start RVE. Step5: 點選 DRC_RES.db 檔案, 即可開啟 Qcalibre DRC 驗證結果 13 LVS Verification Qcalibre LVS 在 EDA cloud 系統裡, 真實的 LVS command file 並不存在於 CBDK 目錄裡, 因為這會牽扯到 TSMC 機密資料外洩問題, 所以無法直接用 Calibre 軟體作 off-line LVS 驗證, 為解決這問題,CIC 在此提供 Qcalibre LVS 程式, 可作 off-line LVS 驗證, 使用說明如下 13.1 Qcalibre LVS 概念 Qcalibre LVS 屬於假 Layout 版的 LVS 驗證, 主要驗證 Layout 時有無訊號被 Floating 或 Power Open Short 等, 所以假 Layout 的 LVS 驗證足以, 在此 CIC 不會提供 Qentry LVS 程式,Qcalibre LVS 與 Qcalibre DRC 相似, 最多可用到四顆 CPU 的資源 12

13 13.2 Qcalibre LVS 使用方法 Step1: 完成晶片佈局, 產生 GDS 檔 Step2: 產生原始電路的 SPICE 檔 source.spi, 示範語法如下 Rv2lvs -64 -v CHIP_layout.v -l dpsram_4096x16.v -l dprf_512x32.v -l sprf_512x32.v -l rom_2048x32.v -l tsmc090nvt_fram_lvs.v -l tsmc090hvt_fram_lvs.v -l tpzn90gv3_lvs.v -s tsmc090nvt_fram_lvs.spi -s tsmc090hvt_fram_lvs.spi -s tpzn90gv3_lvs.spi -s dpsram_4096x16.spi -s dprf_512x32.spi -s sprf_512x32.spi -s rom_2048x32.spi -s1 VDD -s0 VSS -o source.spi Step3: 請複製 /cad/cbdk/cbdk_tsmc90gutm_arm/cic/calibre/lvs/ TN90GUTM_LVS_CB.rule 到執行 Qcalibre LVS 的目錄, 並修改 TN90GUTM_LVS_CB.rule 內容如下 註 1: LAYOUT PATH => 填寫 GDS 檔案 ( 記得打上 VDD, VSS, IOVDD, IOVSS TEXT) 註 2:SOURCE PATH => 填寫剛剛用 Rv2lvs 轉換後的 SPICE 檔案 source.spi 13

14 Step4: 假若有記憶體或 Hard Macro 電路, 請自行在 TN90GUTM_LVS_CB.rule 檔案最後一行加上 LVSBOX 的描述如下 Step5: 開始執行 Qcalibre LVS 程式 Qcalibre -lvs -hier -turbo_all TN90GUTM_LVS_CB.rule 13.3 Qcalibre LVS 驗證結果之觀察方法 當執行 showq 指令觀察 Qentry 執行狀況的 Log 訊息, 假若 quser 那行消失, 表示 Qcalibre LVS 已經驗證完畢 驗證完畢後會產生 CALIBRE_result 的目錄, 請觀察 lvs.rep 檔案, 觀察 LVS 有無出現驗證成功的笑臉即可 14

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

Microsoft Word - SOC_Encounter_Flow_new4.docx

Microsoft Word - SOC_Encounter_Flow_new4.docx SOC Encounter Flow First version: Chlin 2007.07.13 Second version: Paulman, lkince, Arong 2008.10.20 Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Microsoft Word - Lab8_1213.docx

Microsoft Word - Lab8_1213.docx Lab 8: DRC and LVS 1 These Labs are in the CIC standard flow 2 在今天的 Lab 中, 我們會練習到 2.1 Stream In GDS with Virtuoso 2.2 Design Rule Check (DRC) 2.3 Layout Versus Schematic (LVS) 3 先複製並解壓縮 Calibre Lab 的檔案

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

EDA cloud 使用說明 目錄 EDA cloud 使用說明 取得連線密碼 開啟中心官網首頁 EDA CLOUD OTP 取得 會員登入 EDA CLOUD OTP 取得網頁 取得 OTP..

EDA cloud 使用說明 目錄 EDA cloud 使用說明 取得連線密碼 開啟中心官網首頁 EDA CLOUD OTP 取得 會員登入 EDA CLOUD OTP 取得網頁 取得 OTP.. EDA cloud 使用說明 目錄 EDA cloud 使用說明... 1 1 取得連線密碼... 2 1.1 開啟中心官網首頁... 2 1.2 EDA CLOUD OTP 取得... 2 1.3 會員登入... 3 1.4 EDA CLOUD OTP 取得網頁... 3 1.5 取得 OTP... 5 2 安裝 EDA cloud 用戶端連線軟體... 6 2.1 下載安裝... 6 2.2 設定

More information

AIoT Cloud 使用說明 目錄 AIoT Cloud 使用說明 取得連線密碼 開啟中心官網首頁 AIoT Cloud OTP 取得 會員登入 AIoT Cloud OTP 取得網頁 取得 O

AIoT Cloud 使用說明 目錄 AIoT Cloud 使用說明 取得連線密碼 開啟中心官網首頁 AIoT Cloud OTP 取得 會員登入 AIoT Cloud OTP 取得網頁 取得 O AIoT Cloud 使用說明 目錄 AIoT Cloud 使用說明... 1 1 取得連線密碼... 2 1.1 開啟中心官網首頁... 2 1.2 AIoT Cloud OTP 取得... 2 1.3 會員登入... 2 1.4 AIoT Cloud OTP 取得網頁... 3 1.5 取得 OTP... 5 2 安裝 AIoT Cloud 用戶端連線軟體... 6 2.1 下載安裝... 6

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial VLSI Design Lab3 Dracula- Layout Verification Advisor Presenter: 2003/04/25 ACCESS IC LAB Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial Introduction ACCESS IC LAB Design

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

行 精 類 行 年 年 行 立 林 參 理 理 年

行 精 類 行 年 年 行 立 林 參 理 理 年 行 精 類 行 年 年 行 立 林 參 理 理 年 USB OTG USB The Design and Implementation of a USB OTG Chip and USB Speaker System NSC 222 E 95 49 95 8 96 7 3 ( ) 96 USB OTG 997 PC USB (Universal Serial Bus) USB PC USB OTG

More information

iziggi

iziggi iziggi 1 1 iziggi-hd 2 iziggi-hd 4 IPEVO iziggi-hd 6 iziggi-hd 8 iziggi-hd 9 Whiteboard App USB 10 iziggi-hd 11 iziggi-hd Live View WiFi 11 12 LED 12 iziggi-hd 13 Apple TV AirPlay 13 14 15 iziggi-hd *

More information

Microsoft Word - EDA_Cloud_FC_使用手冊v4.5.doc

Microsoft Word - EDA_Cloud_FC_使用手冊v4.5.doc EDA cloud full custom Flow Outline 1. EDA Cloud 製程資料庫... 2 2. Laker layout....4 3. Laker ADP...7 Appendix: T18 Laker PDK library..17 4. Hspice 18 5. Virtuoso Layout 20 6. Virtuoso ADE.22 7. Calibre DRC...31

More information

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析 最 有 利 標 作 業 程 序 實 務 分 析 交 通 部 採 購 稽 核 小 組 陳 秘 書 牧 民 日 期 :101 年 05 月 21 日 大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標

More information

第一章.FIT)

第一章.FIT) 第 一 章 美 丽 触 手 可 及 一 些 天 生 好 动 的 懒 人 袁 根 本 静 不 下 心 去 美 容 院 做 护 理 袁 通 常 总 是 用 一 些 最 野 懒 冶 的 方 法 来 保 养 自 己 遥 比 如 下 飞 机 以 后 感 觉 头 发 很 乱 袁 就 用 手 当 梳 子 随 手 梳 两 下 曰 脸 上 很 干 袁 就 往 脸 上 涂 些 酸 奶 尧 牛 奶 或 者 蜂 蜜 噎 噎

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

上海交通大学(二).DOC

上海交通大学(二).DOC ... 1... 7... 14... 20... 28... 30... 31... 37... 38... 44... 52... 59... 61... 63... 65... 69... 72... 76 I ... 85... 88... 93... 96... 97... 99... 100... 105... 107... 108...110...113 2004...115 2004...118

More information

九十六簡章

九十六簡章 105 年 度 數 位 IC 設 計 能 力 鑑 定 考 試 簡 章 指 導 單 位 : 經 濟 部 工 業 局 主 辦 單 位 : 財 團 法 人 國 家 實 驗 研 究 院 國 家 晶 片 系 統 設 計 中 心 I 105 年 數 位 IC 設 計 能 力 鑑 定 重 要 日 程 表 項 目 時 程 說 明 考 試 簡 章 公 告 105/07/22 IC 設 計 專 業 人 才 能 力 鑑

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

使用手冊

使用手冊 使用手冊 版權所有 2013 年 Microtek International, Inc. 保留所有權利 商標 Microtek MII MiiNDT ScanWizard Microtek International, Inc. Windows Microsoft Corporation 重要須知 Microtek Microtek Windows Microsoft Windows I49-004528

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

Microsoft Word - xiuxinduanyu-2-doc.doc

Microsoft Word - xiuxinduanyu-2-doc.doc 明 慧 专 题 文 章 汇 编 这 些 文 章 是 各 位 大 法 弟 子 作 者 在 各 自 在 修 炼 过 程 中 在 当 时 所 在 层 次 的 认 识 ; 我 们 收 集 成 册, 谨 供 不 经 常 访 问 明 慧 网 的 同 修 参 考 师 父 的 法 才 是 指 导 大 法 弟 子 修 炼 提 高 的 根 本, 广 泛 阅 读 明 慧 网 上 更 多 同 修 的 修 炼 交 流, 能

More information

Microsoft Word - 1-3陳詠琳-近代..

Microsoft Word - 1-3陳詠琳-近代.. 近 代 數 字 卦 研 究 考 述 陳 詠 琳 摘 要 所 謂 的 數 字 卦, 乃 指 出 土 文 物 上 某 種 奇 特 的 卜 筮 符 號, 有 學 者 表 示 這 些 符 號 為 數 字, 並 將 之 與 周 易 連 結, 遂 使 此 類 符 號 有 筮 數 易 卦 之 稱, 為 一 門 新 穎 的 易 學 研 究 議 題 張 政 烺 以 奇 數 為 陽, 偶 數 為 陰 的 原 則, 把

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

2

2 ST 000980 00 1 2 3 HUANGSHAN JINMA CO.,LTD HSJM 0559-6537831 0559-6537888 tianyuy@21cn.com 1 245200 http://www.hsjinma.com jinma@hsjinma.com http://www.cninfo.com.cn ST 000980 1998 8 31 3400001300055 341021711767072

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

封面-12

封面-12 第十二章 701Client TECHNOLOGY CO.,LTD. 701Client 701Server 701Client "701Client", 12-1 :supervisor :supervisor : 1. : 00~99 100 2. : 00~63 ( 63 / / ) 3. : 18 9 4. : 18 9 5. 12-2 TECHNOLOGY CO.,LTD. 701Client

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤 附 件 : 2015 年 度 全 国 优 秀 水 利 水 电 工 程 勘 测 设 计 奖 获 奖 项 目 公 示 名 单 序 号 项 目 名 称 申 报 单 位 获 奖 人 员 水 利 设 计 金 质 奖 (27 项 ) 1 湖 南 渫 水 皂 市 水 利 枢 纽 工 程 设 计 汪 庆 元, 刘 志 明, 杨 启 贵, 夏 叶 青, 王 超, 雷 长 海, 李 勤 军, 刘 瑞 懿, 金 德 山,

More information

,,,,, ; ;,,,,,,,,,,,,,, 1938 10,, 11, 1940 3,,, : ; ;,,,?, :,,, 2 /,, 1940,, ;,,,,,,,, :, ;,, ;,,, ;,,,,, :,,,, :,,,, ;,,,,,,,, / 3,,,,,,,,, :,,, 1943, :,,,,, :,, 5,,,,, 1 1 1 1 6 10 13 16 16 26 ( ) 1.

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

投影片 1

投影片 1 9 1 9-1 Windows XP Windows Server 2003 Mac OS Linux, 都 (OS, Operating System ) 2 3 , 來, 行 3 理 行 4 ,, (UI, User Interface), 滑, 令 列 (CLI, Command-Line Interface) (GUI, Graphical User Interface) 2 5 令 列,

More information

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc Author WeiKai Version 1.0.0 Date 2013/4/14 Page 1/11 我如何在 XPAC 上建立一個 COM port 通訊程式 Applies to: Platform OS version XPAC utility version XPAC series All versions (WinCE6) All versions XPAC SDK 提供 XPAC 結合

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

热 分 析 简 介 20 世 纪 90 年 代, 为 了 降 低 产 品 开 发 所 需 的 成 本 和 时 间, 传 统 的 原 型 制 造 和 测 试 在 很 大 程 度 上 已 被 模 拟 驱 动 的 设 计 流 程 所 取 代 有 了 这 一 流 程, 工 程 师 对 昂 贵 而 又 耗 时

热 分 析 简 介 20 世 纪 90 年 代, 为 了 降 低 产 品 开 发 所 需 的 成 本 和 时 间, 传 统 的 原 型 制 造 和 测 试 在 很 大 程 度 上 已 被 模 拟 驱 动 的 设 计 流 程 所 取 代 有 了 这 一 流 程, 工 程 师 对 昂 贵 而 又 耗 时 SolidWorks 热 分 析 简 介 20 世 纪 90 年 代, 为 了 降 低 产 品 开 发 所 需 的 成 本 和 时 间, 传 统 的 原 型 制 造 和 测 试 在 很 大 程 度 上 已 被 模 拟 驱 动 的 设 计 流 程 所 取 代 有 了 这 一 流 程, 工 程 师 对 昂 贵 而 又 耗 时 的 物 理 原 型 的 需 求 大 大 减 少, 只 需 使 用 易 于 修

More information

Cover-3.indd, page Normalize

Cover-3.indd, page Normalize 5 55 75 91 5 6 1 2 3 4 5 7 8 1 2 3 4 5 9 10 1 2 3 4 5 6 7 11 12 1 2 3 13 14 1 2 3 15 16 1 2 17 18 1 2 3 19 20 1 2 21 22 1 2 3 23 24 1 2 3 25 26 1 2 3 4 5 27 28 1 3 2 4 5 6 7 8 9 29 30 31 32 1 2 3 4 33

More information

人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ----

人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ---- 人 間 菩 提 Part 1 人 間 菩 提 Part 2 清 涼 菩 提 正 覺 ------------------ 10 修 行 ------------------ 13 清 心 ------------------ 16 發 願 ------------------ 18 自 重 ------------------- 20 習 氣 ------------------ 22 清 淨 心

More information

Part 1 2 3 4 5 6 7 Part 2 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 Part 3 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

目录

目录 ...1 2 1.1 ASIC...2 1.2.5 1.3 Ambit 7 2.1 2.2 Ambit.8 2.3 Ambit.9 2.4 13 Silicon Ensemble 15 3.1 15 3.2 Silicon Ensemble 16 3.3 Silicon Ensemble 3.4 SE.22 3.5.22 PKS(Physical knowledge synthesis)..24 4.1.2

More information

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp 01 1.6 Spyder Anaconda Spyder Python Spyder Python Spyder Spyder 1.6.1 Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Spyder Python File

More information

輕鬆學 Dreamweaver CS5 網頁設計..\Example\Ch0\ \.html..\example\ch0\ \mouse.txt..\example\ch0\ \ _Ok.html 學習重點 JavaScript 複製程式碼 mouse.txt Ctrl+C Ctrl+C 0-4

輕鬆學 Dreamweaver CS5 網頁設計..\Example\Ch0\ \.html..\example\ch0\ \mouse.txt..\example\ch0\ \ _Ok.html 學習重點 JavaScript 複製程式碼 mouse.txt Ctrl+C Ctrl+C 0-4 JAVA Extension 0..\Example\Ch0\ \ T.html..\Example\Ch0\ \ T.txt T.txt..\Example\Ch0\ \ T_Ok.html 提示 :. Marquee Marquee Font Color #FFFFFF BG Color #867bf Width 90 Height 50. T.txt Ctrl+C your scrolling

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

应 用 英 语 J102 会 学 基 础 2 3 月 1 日 12:30-14:30 1 号 教 学 楼 104 应 用 日 语 J102 日 汉 互 译 1 3 月 1 日 12:30-14:30 1 号 教 学 楼 104 应 用 日 语 J102 职 业 教 育 2 3 月 1 日 9:00-

应 用 英 语 J102 会 学 基 础 2 3 月 1 日 12:30-14:30 1 号 教 学 楼 104 应 用 日 语 J102 日 汉 互 译 1 3 月 1 日 12:30-14:30 1 号 教 学 楼 104 应 用 日 语 J102 职 业 教 育 2 3 月 1 日 9:00- 通 信 J101 职 业 教 育 8 3 月 1 日 9:00-11:00 1 号 教 学 楼 313 通 信 J101 现 代 通 信 系 统 21 3 月 1 日 12:30-14:30 1 号 教 学 楼 102 通 信 J101 通 信 工 程 监 理 2 3 月 1 日 12:30-14:30 1 号 教 学 楼 102 应 用 电 子 J101 EDA 技 术 的 应 用 2 3 月 1

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

<A67EB3F82E706466>

<A67EB3F82E706466> 6286 233507 RichTek Technology Corp. httpmops.tse.com.tw (03)5526789 (03)5526789 dj_ma@richtek.com chris_yuan@richtek. 20 5 (03)5526789 (03)5526611 97 6 (02)23253800 http //www.masterlink.com.tw 156 12

More information

Modelsim中文教程

Modelsim中文教程 ModelSim SOC 2004.8 1 ModelSim Model Verilog VHDL OEM Verilog VHDL ModelSim ModelSim/VHDL ModelSim/Verilog OEM ModelSim/LNL Verilog VHDL ModelSim/PLUS Verilog VHDL ModelSim/SE PLUS 3 Debussy Verilog\VHDL

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

Layout Skill_and_Varification

Layout Skill_and_Varification IC 佈 局 設 計 能 力 鑑 定 題 庫 及 參 考 解 答 學 科 題 庫 選 擇 題 - 共 50 題 術 科 題 庫 佈 局 題 - 共 1 題 DRC 除 錯 題 - 共 1 題 LVS 除 錯 題 - 共 1 題 - 1 - 考 試 注 意 事 項 : 測 驗 內 容 :( 詳 細 內 容 依 簡 章 公 告 為 主 ) 科 目 鑑 定 主 題 鑑 定 內 容 學 科 筆 試 共 計

More information

Microsoft PowerPoint - IC.ppt

Microsoft PowerPoint - IC.ppt Full Customer Design Flow using Laker and ICFB 元智資工超大型積體電路設計導論 Written by 蔣宇程 超大型積體電路導論 1 環境 作業系統 :Solaris 8 以上 工作站 :Sun workstation 教室 :1301 IC 設計學院 進入教室請勿攜帶食物及飲料 工作站有問題請找助教, 切勿自行關機或重開 不要長時間 lock 工作站,

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

<4D6963726F736F667420576F7264202D20B971B8A3B577C5E9B8CBADD7A441AFC5B2C4A447B3A1A4C039372E342E3232A44AAE77AAA92E646F63>

<4D6963726F736F667420576F7264202D20B971B8A3B577C5E9B8CBADD7A441AFC5B2C4A447B3A1A4C039372E342E3232A44AAE77AAA92E646F63> 電 腦 硬 體 裝 修 乙 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 人 參 考 資 料 試 題 編 號 :12000-940201~12 審 定 日 期 : 94 年 11 月 30 日 修 訂 日 期 : 96 年 11 月 01 日 修 訂 日 期 : 97 年 01 月 07 日 修 訂 日 期 : 97 年 04 月 22 日 ( 第 二 部 分 ) 電 腦 硬 體 裝 修

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

福建福日电子股份有限公司

福建福日电子股份有限公司 (600203) 2004 2004 2004 2004 ...1...3...6...9...13...15...16...26...28...34...76 2004 FUJIAN FURI ELECTRONICS CO., LTD. FFEC 169 0591 83315984 83318998 0591 83319978 jiangql@furielec.com wangz@furielec.com

More information