目录

Size: px
Start display at page:

Download "目录"

Transcription

1 ASIC Ambit Ambit Ambit Silicon Ensemble Silicon Ensemble Silicon Ensemble 3.4 SE PKS(Physical knowledge synthesis) PKSSPnR

2 VHDL Cadence Ambit Cadence Silicon Ensemble Cadence PKS (Physical knowledge synthesis) Ambit 3 Silicon Ensemble 4 AmbitSilicon Ensemble PKS floorplanambit Silicon EnsemblePKS(Physical knowledge synthesis) 1

3 1.1 ASIC VLSI ULSI EDA 80 Very High Speed Integrated Circiut VHDL Cadence Verilog HDL HDL RTL HDL RTL ECO CLOCK TREE 2

4 1. 2. ASIC ASIC ASIC 5. 6 ASIC

5 1.1 ASIC 4

6 1.2 RTLHDL ASIC (synthesis) RTL HDL = + + ( Synthesis = Translation + Optimization + Mapping ) ( 1.2 ) 1.2 5

7 Synopsys 2000 Synopsys Synopsys Physical Synthesis IC Candence PKS(Physical knowledge Synthesis) Candence PKS Physical Synthesis 6

8 Ambit = + + ( Synthesis = Translation + Optimization + Mapping ) Ambit 2.1 Ambit Ambit 7

9 2.2 Ambit Ambit TCL Shell, ac_shell Ambit -navigates, navigates ac_shell gui navigates Ambit 2.3 navigates navigates HTML ac_shell ac_shell help <command name> Ambit Verilog VHDL RTL Verilog VHDL Ambit Data Base(ADB) SDF SDF (IOPATH/INTERCONNECT) write_assertions PDEF RC EDIF GCF

10 ambit report_timing report_area report_hierarchy report_design_rule_violations report_library report_net report_fanin report_fanout report_fsm Ambit 2.3 Ambit VHDL Verilog HDL Ambit Ambit ac_shell ac_shell gui navigates read_tlf csmc06core.tlf csmc06core.tlf 0.6um set_global target_technology csmco6core.tlf 9

11 2.3.4 RTL RTL HDL Verilog read_verilog filename VHDLread_vhdl filename RTL do_build_generic do_bu ( ) 2.4 do_build_generic 2.4 Control Data Flow Graphs CDFGs ATL ACL Ambit set_current_module mod_name 10

12 set_top_timing_module mod_name set_clock IDEAL_CLOCK period 20.0 wave {0 10} set_clock_arrival_time clock IDEAL_CLOCK \ rise 0 fall 10 clk set_data_arrival_time 0.0 clock \ideal_clock [find port noclock input *]

13 set_data_required_time value clock \ideal_clock[find port output *] set_drive_resistance value \ [find port input *] set_port_capacitance value portlist check_timing check_netlist do_optimize report_area report_timing write_verilog hier output_file hier write_adb output_file 12

14 read_tlf 2. set_global target_technology <lib_name> 3. HDL read_vhdl read_verilog 4. do_build_generic 5. source <constraint>.tcl 6. do_optimize 7. report_timing > file.rpt 8. HDL write_verilog 2.4 Ambit Lab Basic Flow of Ambit Synthesis ObjectiveSynthesize your design using Ambit Synthesis. Design FilesThree modules are used for this design: mux.v Selects the signal source ring_plus.v Contains the math operations bell_box.v Top level model Running the Design 1. Change directories by entering: cd lab1_2 2. Enter ambit GUI environment ac_shell gui & 3. Enter the key steps in Navigates command window to synthesize the design (1) Reading in the technology file read_tlf csmc06core.tlf read_tlf csmc06pad.tlf (2) Reading in the Verilog models read_verilog {bell_box.v mux.v ring_plus.v} (3)Building the technology independent netlist do_build_generic (Double click the bell_box in Module Brower to view the schematic) (4)Setting the constraints set top "bell_box set_top_timing_module $top 13

15 set_current_module $top set_clock IDEAL_CLOCK -period wave { } set_clock_arrival_time -clock IDEAL_CLOCK -rise \0.00 fall clock proc all_inputs {} {find -port -input -noclocks *} proc all_outputs {} { find -port -output * } set_data_arrival_time 3.5 -clock IDEAL_CLOCK \[all_inputs] set_drive_resistance 0 [all_inputs] set_data_required_time clock IDEAL_CLOCK \[all_outputs] (5) Optimizing the design do_optimize (6) Generating reports report_timing > timing.rpt report_area -hier -cells > area.rpt report_design_rule_violations > drc_violations.rpt report_fanin out > fanin.rpt report_fanout mode > fanout.rpt report_hierarchy > hierarchy.rpt (7) Writing out the gate-level models write_verilog -hier bell_box_h.vg Note: you can collect the commands you set ((1) to (7)) to a script file, e.g. (script.tcl). Then use source script.tcl in command window to progress the synthesis. 4. Make sure the module tab is selected in the NaviGates browser window (center-left of the main window). Each module is labeled with b, g, m, o, or x. These labels indicate the state of the modules listed. Module state Definition b Black box (no subcomponents) g Contains generic view m Contains mapped view o Contains an optimized view x Module is marked as don t modify 5. Right click bell box in the module tab. a. Select Open Schematic Main Window. b. Left click on the module u1. c. on smart icons above schematic window, click the icon down hierarchy, The schematic of u1 is displayed. d. Select Up hierarchy e. In the schematic window, right click. A popup appears. Select worst path from the popup. f. To clear the highlighted path, select the smart icon above the schematic window Click Highlighting 6. Reviewing the Reports Review the reports created. 14

16 Silicon Ensemble : ASIC ASIC PAD PAD PAD 4 5 ASIC CAD ASIC ASIC

17 Silicon Ensemble Silicon Ensemble floorplan Silicon Ensemble SE Silicon Ensemble (floorplan), ANSI( ) Silicon Ensemble (floorplanners) (placers) (routers) (system support tools) floorplanners: placer: Qplace router: (global) (final), (power) WarpRoute system support tools: Silicon Ensemble (Mutilayer metal routing) (Mixed library support)correct-by-construction layout, (APT), (ECO) Silicon Ensemble 16

18 Silicon Ensemble Silicon Ensemble 3.3 Silicon Ensemble SE SE setup 1. LEF (Library Exchange Format): ASCII TLF (Timing Library Format)CTLF (Compiled Timing Library Format)CTLF TLF GCF (General Constraints Format) CTLF Verilog clock tree, Verilog bus pins 17

19 2. DEF(Design Exchange Format) : ASCII DEF Verilog incremental DEF DEF Preview Verilog incremental DEF GCF(General Constraints Format) SDF 3. setup se.ini: SE se.env: se.fin: dlc.init: GCF the Central Delay Calculator(CDC), SE

20 se sedsm seultra [-b] [-j=journal] [-gd=drive] [-e=environmentalfile] [-m=memorysize] [ cmds ] [&] [-version] -b: -gd=ansi: SE> -gd-x: ANSI se sedsm =b -gd=ansi EXECUTE script.com; & 3. 1 LEF LEF LEF GDSII AutoAbgen File-Import-LEF INPUT LEF - 2 GCF CTLF GCF CTLF CTLF dlc.init File-Import-Timing Library INPUT CTLF 3 Verilog ( ) Clock tree, File-Import-VerilogINPUT VERILOG 4 i) Verilog File-Import-Verilog INPUT VERILOG. ii) DEF :File-Import-DEF INPUT DEF 5 DEF ( ) DEF File-Import-DEF INPUT DEF 6 ( ) GCF clodk tree GCF 7 GCFSDF DC 8 Verilog ( ) Verilog 4. floorplan core, I/O core GCell RGrid Floorplan-Initialize FloorplanINITIALIZE FLOORPLAN EDIT MOVE 5. I/O blocks blocks I/O I/O pads I/O pins I/Opads Place-I/OsPLACE IO. (Edit-Move MOVE) (Place-Blocks QPLACE BLOCKS blocks blocks Floorplan -Update Core RowsCUT ROW. 19

21 6. Route-Plan Power (-Delete Pwr Path -Restore Pwr Path). power rings(-add Rings CONSTRUCT RING)power stripes(-add Stripes ADD STRIPE) (RingWires-Add Change Delete). 7. (cell) cell, RSPF RSPF I/O pins, cell pin placement floorplan Floorplan-Compact Floorplan(Vsize)VSIZE 8. SE Central Delay Calculator Report-Timing Analysia REPORT TIMING Report-Timing PathREPORT TIMING PATH Report-RC REPORT RC Report-Delay DELAY SDF 9. Floorplan-Compact Floorplan(Vsize)VSIZE 10% floorplan 10. clock trees( ) CTGen Place-Clock Tree Generate CTGen skew budget clock tree skew File-Import-DEFINPUT DEF ECO clock tree clock tree Report-Clock SkewREPORT CLOCK SKEW clock skew Report-Timing Analyzsis REPORT TIMING ANALYSIS, (violations) 11. ( ) violations GCF PBOpt ( Place-Placement Optimization-PBOpt PBS) QPlace (Place- Placement Optimization-QPlace Optimization) Floorplan-Compact Floorplan(Vsize)VSIZE 20

22 12. Route-Connect Ring CONNECT RING power nets Route-Clock Route CLOCK ROUTE clock trees nets Route-Warp Route WROUTE, Route-Globals Route GROUTE Route-Final Route FROUTE Search Repair violations 13. Verify-Geometry VERIFY GEOMETRY violations Verify-ConnectivityVERIFY CONNECTIVITY violations Verify-AntennasVERIFY ANTENNA antennas searchrepair FROUTE wirenet violations Edit-Wire violations 14. Report-RC REPORT RC RSPF Report-Delay REPORT DELAYS SDF Verilog File-Export-Verilog OUTPUT VERILOG (datapath design) datapath design datapath File-Import-Verilog INPUT VERILOG.vip Verilog floorplan datapath datapath Floorplan-Datapath Toolbox-Initial Functions; Floorplan-Datapath Toolbox-Add Regions; Floorplan-Datapath Toolboxl-Place Regions ECO 1. File-Import-DEF ECO(INPUT DEF ECO)File-Import-Verilog(INPUT VERILOG ECO) nets GUI GUI DA Verilog-HDL PAD DEFIO constraint file (.ioc)generate clock tree **.ctgen.cmd. 21

23 3.4 SE block LEF block LEF block LEF Wrap Route verify Wrap Route Search and Repair verify, report report summary row pin report RC net report delay net DC Verilog core pad pad DEF 2. IO (.ioc) 3. clock tree (.constraint) (.ctgen.cmd) SE %cd work InitWorkDir.csh scripts/ ***************************************************************************** #!/bin/csh -f if(`basename $cwd` == "work") then # Remove all previous files. \rm -r dbs *.cfg *.dtp *.gds *.info *.ini *.jnl *.rpt *.summary *.wdb \rm -r * # Prepare the directory. mkdir dbs 22

24 cp ~chwtang/csmc/csmchdlib/se/se.ini./se.ini # Start se in the background. sedsm -m=500 & else echo "Not in work directory." endif ****************************************************************************** %../scripts/initworkdir.csh SE File-Import-LEF csmc06.lef File-Import-Timing Library csmc06.gcf File-Import-Verilog ddfs.vddfstop.v verilog csmc06.v File-Import-SDF ddfs_constraints.sdf File-Import-DEF pads DEF SE Initialize Floorplan Floorplan-Initialize Floorplan SE rows Iopads: Place-Ios IO pads ddfstop.ioc SE IO pads power planning Route-Plan Power-Add Rings Route-Plan Power-Add Stripes Place-Cells clock tree: Place-Clock Tree Generate(CTGEN) clock tree clock tree clock tree def File-Import-DEF ECO place filler core cells Place-Filler Cells-Add Cells Route-Connect Ring Route-Wroute File-Export-GDS II gdsii File-Verilog Report-Delay SDF 23

25 PKS(Physical knowledge synthesis) RTL GDSII Cadence SPnR(Synthesis Place and Route) RTL GDSII SPnR Cadence SPnR RTL RTL GDSII SPnR RTL Verilog VHDL verilog VHDL EDIF SPnr PKS(Physically Knowledgeable Synthesis) SE(Silicon Ensemble) 24

26 25

27 4.2 PKS SPnR SPnR 1 SPnR 1 RTL ( ) Verilog VHDL 2 DEF 5.1+, PDEF 2.0 3GCF GCF 1.3, ALF 3.0, OLA(DCL), TLF ( ) TCL 6 LEF 5.2 LEF ASCII 2. Run script: do_rtl RTL Run script: do_pks Run script: do_ctpks Run script: do_post_ctpks_optimize Run script: do_groute Encapsulation: do_wroute Encapsulation: do_hyperextract Run script: do_delay_calc Run script: do_post_route_optimize Encapsulation: d0_post_route_eco ECO 3. setup.tcl, library,tcl design.tcl 4. PKS set_global placement_initialize_autopass true set_min_wire_length 10 set_steiner_mode report_globals 26

28 auto_slew_prop_selection true path_style_constraints true clock_gating_to_be_checked true extra_space_for_opt RTL PKS DEF SPnR RTL RTL PKS Verilog DEF RTL <library>.tlf <library>.lef <library>.lut demo.v demo.def constraints.tcl floor.tcf TLF LEF LUT RTl TCL TCL demo_rtl.adb demo_rtl.v demo_rtl.def timing_rtl.txt RTL do_rtl Ambit / SPnR PKS DP SPnR SE LEF DEF TLF SE power planner DEF DEF 27

29 <library>.lef demo_rtl.def LEF RTL demo_se.def DEF PKS DEF <library>.tlf <library>.lef <library>.lut TLF LEF LUT RTL demo_rtl.adb demo_se.def / RTL RTL RTL RTL demo.v demo_se.def constraints.tcl RTL TCL demo_pks.adb Ambit demo_pks.v / demo_pks.def timing_pks.txt do_pks PKS do_place do_xform_optimize_slack CTPKS PKS4.0 CTGen PKS CTGen 28

30 CTGen ctgen2pks CTPKS TCL do-ctpks CTPKS TLFALF (LEF) LUT set_constrain_for_timing set_clock_root set_clock_insertion_delay set_cel_property don t_utilize true {$celllrefs} ( CTGen ) get_clock_tree_objects buffer -inverter set_attribute $cellrefs ct_don t_utilize true CTPKS set_attribute $cellrefs ct_don t_utilize fause CTPKS dont_modify ctpks.tcl TCL set_clock_tree_constraints pin $clock_pin min_delay 2.0 max_dealy 2.5 max_leaf_transition 1.0 do_build_clock_tree noplace pin $clock_pin save_structure $clock_structure report_clock_tree pin $clock_pin >clock_tree.rpt report_clock_tree_violations pin $$4clock_pin > clcok_tree_violation.rpt set_clock_tree_constrains report_clock_tree_violations CTPKS CTPKS do_place eco do_place eco do_build_clock_tree set_clock_propagation_mode 29

31 CTPKS CTPKS Ambit Verilog DEF 30

32 <library>.tlf <library>.lef <library>.lut constraints.tcl TLF LEF LUT TCL demo_pks.adb Ambit do_post_ctpks_optimize PKS WROUTE PKS RC NETS RC PKS RC RC RC Veilog DEF.adb Verilog DEF <library>.tlf <library>.lef <library>.lut TLF LEF LUT Ambit demo_post_ctpks.adb Ambit demo_post_ctpks.v / demo_post_ctpks.edf constraints.rcl demo_groute.adb demo_groute.v demo_groute.def demo_groute.wbd Ambit / WROUTE 31

33 Verilog DEF do_xform_fix_hold incremental dont_reclaim critical_ratio 0.0 write_verilog: verilog write_def: quit and restart: PKS read_verilog: read_def: PKS do_xform_fix_hold incremental dont_reclaim critical_ratio WROUTE WROUTE WROUTE wroute SPnR SE GUI ULTRA WROUTE 9 SPnR SE GUI <library>.tlf <library>.lef <library>.lut TLF LEF LUT wroute.wrconfig WROUTE do_wroute demo_groute.wdb WROUTE demo_groute.def demo_wroute.wdb WROUTE demo_wroute.log 32

34 do_wroute HyperExtract PKS HyperExtract <library>.lef demo_wroute.def hyperextract.rules LEF demo_hext.dspf demo_hext.rspf demo_hext.log do_hyperextract Parasitic format DSPF RSPF HyperExtract PKS3.0.X SPnR PKS4.0.X SPnR PKS BulidGates/PKS WROUTE HyperExtract DSPF RSPF do_delay_calc do_delay_calc SPF SDF DSPF RSPF SPF PKS Engineering Change Order ECO ECO ECO ECO ECO DEF ECO ECO ECO ECO 33

35 SPF <library>.tlf <library>.lef <library>.lut demo_groute.v TLF LEF LUT / demo_groute.def demo_hext.rspf HyperExtract. timing_ipo.rpt do_post_route_optimize <library>.tlf <library>,lef <library>.lut TLF LEF LUT wroute_eco.cmd demo_groute.v / demo_groute.def demo_ipo.adb Ambit demo_ipo.v demo_ipo.def do_post_route_eco / DRC SE SE HECK HECK 34

36 Physical Synthesis IC Cadence Ambit, Silicon Ensemble, PKS(Physical knowledge synthesis)ambit logic synthesissilicon Ensemble placement & routingpks PKS Physical Synthesis RTL EDA 35

37 -- ASIC [1] Ambit manual Cadence Design System, Inc. [2] Documents about Ambit NSC Chip Implementation Center [3] SE_tutorial Cadence Design System, Inc. [4] SPnR Flow Guide for PKS Cadence Design System, Inc. 36

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial VLSI Design Lab3 Dracula- Layout Verification Advisor Presenter: 2003/04/25 ACCESS IC LAB Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial Introduction ACCESS IC LAB Design

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

enews172_1

enews172_1 / http://www.cic.org.tw/login/login.jsp CIC Package Design with Allegro APD 104 IC 104 T50UHV Introduction to Conversational French - Syllabus Summer 2004 1 14 2 12 CMOS MorSensorMorFPGA DUO MorSensor

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

Microsoft Word - SupplyIT manual 3_cn_david.doc

Microsoft Word - SupplyIT manual 3_cn_david.doc MR PRICE Supply IT Lynette Rajiah 1 3 2 4 3 5 4 7 4.1 8 4.2 8 4.3 8 5 9 6 10 6.1 16 6.2 17 6.3 18 7 21 7.1 24 7.2 25 7.3 26 7.4 27 7.5 28 7.6 29 7.7 30 7.8 31 7.9 32 7.10 32 7.11 33 7.12 34 1 7.13 35 7.14

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

基于UML建模的管理管理信息系统项目案例导航——VB篇

基于UML建模的管理管理信息系统项目案例导航——VB篇 PowerBuilder 8.0 PowerBuilder 8.0 12 PowerBuilder 8.0 PowerScript PowerBuilder CIP PowerBuilder 8.0 /. 2004 21 ISBN 7-03-014600-X.P.. -,PowerBuilder 8.0 - -.TP311.56 CIP 2004 117494 / / 16 100717 http://www.sciencep.com

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

HLA-B27軟體

HLA-B27軟體 HLA-B27 HLA-B27 CaliBRITE Beads FACSComp HLA-B27 Calibration Beads HLA-B27 HLA-B27 1. HLA-B27 1.1 HLA-B27 HLA Major Histocompatibity Complex MHC HLA HLA-A -B -C HLA HLA-D/DR -DP -DQ B HLA HLA HLA HLA-B27

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university

Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Some experiences in working with Madagascar: installa7on & development Tengfei Wang, Peng Zou Tongji university Map data @ Google Reproducible research in Madagascar How to conduct a successful installation

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

Microsoft Word - Front cover_white.doc

Microsoft Word - Front cover_white.doc Real Time Programme 行 情 报 价 程 序 Seamico Securities Public Company Limited WWW.SEAMICO.COM Table of Content 目 录 开 始 使 用 开 始 使 用 Z Net 程 序 程 序 1 股 票 观 察 者 4 每 日 股 票 按 时 间 的 交 易 查 询 10 多 股 同 列 13 股 票 行 情

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

Text 文字输入功能 , 使用者可自行定义文字  高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。 GerbTool Wise Software Solution, Inc. File New OPEN CLOSE Merge SAVE SAVE AS Page Setup Print Print PreView Print setup (,, IMPORT Gerber Wizard Gerber,Aperture Gerber Gerber, RS-274-D, RS-274-X, Fire9000

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

CHN_p000A_Cover.ai

CHN_p000A_Cover.ai NextoDI Co., Ltd. www.nextodi.com 列表........................................................................ 1 3 4 4 6 7 8 9 10 12 14 16 20 01 02 03 04 1 2 3 5 6 4 XCopy Ready B Menu Menu Off Off 05 06

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

RUN_PC連載_12_.doc

RUN_PC連載_12_.doc PowerBuilder 8 (12) PowerBuilder 8.0 PowerBuilder PowerBuilder 8 PowerBuilder 8 / IDE PowerBuilder PowerBuilder 8.0 PowerBuilder PowerBuilder PowerBuilder PowerBuilder 8.0 PowerBuilder 6 PowerBuilder 7

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更 AX5000 Version 1.0 2006 年 9 錄...1 說...2 說...3...4 說...5 六...6 6.1 率...7 6.2 POST PAY...8 6.3 PREPAY DEPOSIT...9 6.4...10 6.5...11 更...12...12 LCD IC LED Flash 更 兩 RJ11 ( ) DC ON OFF ON 狀 狀 更 OFF 復 狀 說

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

SA-DK2-U3Rユーザーズマニュアル

SA-DK2-U3Rユーザーズマニュアル USB3.0 SA-DK2-U3R 2007.0 2 3 4 5 6 7 8 System Info. Manual Rebuild Delete RAID RAID Alarm Rebuild Rate Auto compare Temp Management Load Default Elapse time Event Log 0 2 3 4 2 3 4 ESC 5

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Junos Pulse Mobile Security R1 2012, Juniper Networks, Inc.

Junos Pulse Mobile Security R1 2012, Juniper Networks, Inc. Junos Pulse Mobile Security 4.0 2012 6 R1 2012, Juniper Networks, Inc. Junos Pulse Mobile Security Juniper Networks, Inc. 1194 North Mathilda Avenue Sunnyvale, California 94089 408-745-2000 www.juniper.net

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( Nvidia nforce430)

More information

Oracle 4

Oracle 4 Oracle 4 01 04 Oracle 07 Oracle Oracle Instance Oracle Instance Oracle Instance Oracle Database Oracle Database Instance Parameter File Pfile Instance Instance Instance Instance Oracle Instance System

More information

PowerPoint Presentation

PowerPoint Presentation TOEFL Practice Online User Guide Revised September 2009 In This Guide General Tips for Using TOEFL Practice Online Directions for New Users Directions for Returning Users 2 General Tips To use TOEFL Practice

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

Paratune用户手册

Paratune用户手册 PARATERA Paratune 用 户 手 册 v4.0 北 京 并 行 科 技 有 限 公 司 2013 / 10 目 录 1 手 册 说 明... 5 1.1 关 于 手 册... 5 1.2 排 版 约 定... 5 1.3 名 词 解 释... 5 1.4 相 关 文 档... 6 1.5 信 息 反 馈... 6 2 Paratune 简 介... 7 2.1 软 件 界 面 与 主

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

未命名 -1

未命名 -1 BV8188M 使 用 说 明 INSTRUCTIONS 使 用 之 前 请 仔 细 阅 读 此 手 册 Please read before using this manual 深 圳 市 碧 维 视 科 技 有 限 公 司 2013 年 碧 维 视 印 刷, 版 权 所 有, 翻 版 必 究, 本 手 册 内 所 有 图 文, 未 经 授 权, 严 谨 与 任 何 方 式 之 全 面 或 部 分

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

LSC操作说明

LSC操作说明 1 C H R I S T A L P H A 1-4 LSC 型 Part. No. 102041 A L P H A 2-4 LSC 型 Part. No. 10204 冷 冻 干 燥 机 操 作 说 明 新 研 制 的 LSC-8 控 制 器, 具 备 图 形 显 示 功 能, 能 以 数 据 表 形 式 显 示 参 数, 并 可 选 配 控 制 软 件 LSC-8 1/4 VGA 大 屏 幕

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

使用SQL Developer

使用SQL Developer 使 用 SQL Developer 达 成 的 目 标 / 方 案 1 创 建 一 个 新 的 数 据 库 连 接 ; 2 在 SQL Developer 中 查 看 数 据 库 对 象 的 信 息 修 改 数 据 ; 3 在 SQL Developer 中 创 建 表 ; 4 在 SQL Developer 中 创 建 索 引 ; 5 在 SQL Developer 中 创 建 函 数 ; 6 在

More information

Tel: Fax: TTP-344M/246M /

Tel: Fax: TTP-344M/246M / TTP-344M/246M / True Type font David Turner, Robert Wilhelm Werner Lemberg The Free Type Project 235 16 8 2 i- TTP-344M/246M...1 1.1...1 1.2...1 1.2.1...1 1.2.2 /...2 1.2.3...2 1.2.4...2 1.3...3 1.4...3

More information

Basic System Administration

Basic System Administration 基 本 系 统 管 理 ESX Server 3.5 ESX Server 3i 版 本 3.5 Virtual Center 2.5 基 本 管 理 指 南 基 本 管 理 指 南 修 订 时 间 :20080410 项 目 :VI-CHS-Q208-490 我 们 的 网 站 提 供 最 新 的 技 术 文 档, 网 址 为 : http://www.vmware.com/cn/support/

More information

Microsoft Word - SOC_Encounter_Flow_new4.docx

Microsoft Word - SOC_Encounter_Flow_new4.docx SOC Encounter Flow First version: Chlin 2007.07.13 Second version: Paulman, lkince, Arong 2008.10.20 Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping

More information

PCB设计问题集

PCB设计问题集 http://www.maihui.net killmai@163.net PCB VIA 1. 2.Layer_25 Layer_25 3. 25 QFP-44P 1 2 PowerPCB Layer_25 DIP QFP SMD Library:FTL.PT4 Netlist:training.asc, Library:FTLPT4 Netlist:training.asc.!! Traing.PCB,

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

2

2 frmomni BGI Incorporated 58 Guinan Street Waltham MA 02451 Tel: 781.891.9380 Fax: 781.891.8151 www.bgiusa.com 1.1.1 2006 5 2 1.0 3.0 frmomni, 1 I/O Figure 1: Omni Keypad Enter 5 Enter 2 Escape Figure 2:

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

MATLAB 1

MATLAB 1 MATLAB 1 MATLAB 2 MATLAB PCI-1711 / PCI-1712 MATLAB PCI-1711 / PCI-1712 MATLAB The Mathworks......1 1...........2 2.......3 3................4 4. DAQ...............5 4.1. DAQ......5 4.2. DAQ......6 5.

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information