Microsoft Word - SOC_Encounter_Flow_new4.docx

Size: px
Start display at page:

Download "Microsoft Word - SOC_Encounter_Flow_new4.docx"

Transcription

1 SOC Encounter Flow First version: Chlin Second version: Paulman, lkince, Arong Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping File Gate Level Netlist IO Constraint File Timing Constraint File Antenna Rules IO library Core Library Timing Library(Core) Timing Library(IO) Technology File for Veriuoso Virtuoso File Physical Layout(Core) Physical Layout(IO) Calibre DRC Rule File IOPAD SPICE File IOPAD Verilog File Core Cell SPICE File Core Cell Verilog File Calibre LVS Rule File RAM FILE or Directroy ~/SOCE/run/ ~/SOCE/run/addbonding_tpz.pl ~/SOCE/run/io_tpz.list ~/SOCE/run/tsmc013.capTbl ~/SOCE/run/addIoFiller_tpz.cmd ~/SOCE/run/streamOut.map ~/SOCE/design_data/CHIP.vg ~/SOCE/design_data/CHIP.ioc ~/SOCE/design_data/CHIP.sdc ~/SOCE/lef/antenna_8.lef ~/SOCE/lef/tpz013g3_8lm_cic.lef ~/SOCE/lef/tsmc13fsg_8lm_cic.lef ~/SOCE/lib/fast.lib, slow.lib, typical.lib ~/SOCE/lib/tpz013g3lt.lib, tpz013g3wc.lib, tpz013g3tc.lib ~/SOCE/replace/Virtuoso4.4_0.13um_Ver2.la.1.tf ~/SOCE/replace/.cdsinit ~/SOCE/replace/display.drf ~/SOCE/replace/GDSII/tsmc13gfsg_fram.gds ~/SOCE/replace/GDSII/tpz013g3.gds ~/SOCE/verify/drc/Calibre drc cur ~/SOCE/verify/lvs/tpz013_lvs.spi ~/SOCE/verify/lvs/tpz013_lvs.v ~/SOCE/verify/lvs/tsmc13gfsg_fram_lvs.spi ~/SOCE/verify/lvs/tsmc13gfsg_fram_lvs.v ~/SOCE/verify/lvs/Calibre lvs cur ~/SOCE/RAM_relate/RF1SHD_64x8_ant.clf ~/SOCE/RAM_relate/RF1SHD_64x8.vclef ~/SOCE/RAM_relate/RF1SHD_64x8*.lib

2 Place & Route with SOC Encounter 注意事項 : 以下的設定由於檔案設定不同, 所以與原先 Lab 不同, 是需要注意的 地方, 此專題練習仍需要按照原先 Lab 流程進行 DAY 1 執行目錄為 run 在 Design Import 部分 Verilog Files../design_data/CHIP.vg Top Cell CHIP Max Timing Library../lib/slow.lib../lib/tpz013g3wc.lib../RAM_relate/RF1SHD_64x8_slow_syn.lib Min Timing Library../lib/typical.lib../lib/tpz013g3tc.lib../RAM_relate/RF1SHD_64x8_typical_syn.lib Common Timing Library../lib/typical.lib../lib/tpz013g3tc.lib../RAM_relate/RF1SHD_64x8_typical_syn.lib LEF Files../lef/tsmc13fsg_8lm_cic.lef../lef/tpz013g3_8lm_cic.lef../lef/antenna_8.lef../RAM_relate/RF1SHD_64x8.vclef../RAM_relate/RF1SHD_64x8_ant.lef Timing Constraint File../design_data/CHIP.sdc IO Assignment File../design_data/CHIP.ioc

3 注意,LEF File 的第一個 import 進去的一定要是 tsmc13fsg_8lm_cic.lef, 輸入完千萬不要急著按 OK 切換到 Advance 的 slide 切換到 IPO/CTS Buffer Name/Footprint buf Delay Name/Footprint dly2 Inverter Name/Footprint inv CTS: Cell Footprint clkinv clkbuf clkand2 clkxor2 clkmux2 切換到 Power Power Nets VDD Ground Nets VSS 切換到 RC Extraction Typical Capacitance tsmc013.captbl Table File Best Capacitance Table tsmc013.captbl File Worse Capacitance Table tsmc013.captbl File 按 Save 把這個表格存起來以後可以重新 Load, 存好後按 OK 在 Specify Floorplan 的設定

4 Ratio(H/W) 1 Core Utilization 0.2 Core to Left 80 Core to Top 80 Core to Right 80 Core to Bottom 80 其他用 default 即可, 按 OK 不需要 Specify scan chain 不需要打 Block ring, 與 Stripe 步驟 8.5 在步驟 8.3 之前做, 可先將 Memory 加上 Halo 再讓 Tool 去幫我們做 Placement

5 DAY 2 (Day 2, Lab1 Clock Tree Synthesis, step 6) Generate Clock Tree Spec Buffer Footprint clkbuf Inverter Footprint clkinv Save Spec To CHIP.ctstch (Day 2, Lab3 SI Analysis, Route, Stream out, step 4) NanoRoute > Route Timing Driven Enable SI Driven Enable 按 Attribute Net Type(s) Enable Clock Nets Enable Weight 10 Spacing 1 Avoid Detour True 按 OK, 離開 Attribute 畫面在 NanoRoute 的畫面按 OK (Day 2, Lab3 SI Analysis, Route, Stream out, step 9) 回到 unix terminal 執行 %./addbonding_tpz.pl CHIP.def 產生 bondpads.md, bondpads.eco 在 encounter terminal 輸入 source bondpads.cmd, 檢查是否有 Bounding Pad 接上, 且 Power Pad 都接短的 Bonding pad (Day 2, Lab3 SI Analysis, Route, Stream out, step 10) 這一步都不用做, 我們改到 lvs 及 drc 時再做 (Day 2, Lab3 SI Analysis, Route, Stream out, step 11) Design > Save > GDS Output Stream File CHIP.gds Map File streamout.map Unit 1000 其他不動按 OK 跟 Lab 中不一樣的是這邊 Memory 的 gds 我們改由 Virtuoso Stream out 出來, 因為在本專題中如果由 SOC Encounter stream out 的話 gds 檔出錯

6 DAY 3 Stream Out with Virtuoso 輸入下面的指令 cd../replace cp../run/chip.gds. icfb& File > Import > Stream Input File CHIP.gds Top Cell Name CHIP Library Name CHIP ASCII Technology Virtuoso4.4_0.13um_Ver2.1a.1.tf File Name 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > Stream Input File./GDSII/tsmc13gfsg_fram.gds Top Cell Name ( 記得清成空白 ) 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > Stream Input File./GDSII/tpz013g3.gds Top Cell Name ( 記得清成空白 ) 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > LEF LEF File Name RF1SHD_64x8.vclef Target Library Name CHIP 按 OK 在 CIW 底下的 command line 輸入 load( t13abs2lay.ile ) 按 LVS Tools for TSMC13 > Copy Abs to Lay Library CHIP Cell Name RF1SHD_64x8 按 OK

7 File > Open Library fcell Name View Name 按 OK CHIP CHIP layout 在 LSW 的視窗上選 METAL2 pin 的選項在 layout 上按小寫 L, Label DVDD Height 10 按 Hide 此時會有個 DVDD 的 label 跟著滑鼠走 移動到 PVDD2POC 的 bond pad 上按滑鼠左鍵一下 放的位置如下, 可用 Shift+f 切喚看到 cell 內部的線,Ctrl+f 切換回來 同樣的方法, 打一個 DVSS 的 label 在 PVSS2DGZ 的 bond pad 上 Design > Save 回去 icfb 視窗, 按照之前 lab 的流程做 stream out 的動作 DRC, LVS with Calibre 所需檔案放在 verify/drc/ 以及 verify/lvs/ 中 DRC 注意事項 :( 指令 :calibre -drc Calibre-drc-cur) 1. 自行修改 Calibre drc cur 中的 LAYOUT PATH 和 LAYOUT PRIMARY 2. 在 DRC report 的最下面若看到 TOTAL DRC Results Generated: 21 (21) 表 示成功了

8 LVS 注意事項 :( 指令 :calibre -lvs -spice CHIP.spi -hier -auto Calibre-lvs-cur) 1. 自行準備 RAM 的 black box LVS 所需要的檔案 RF1SHD_64x8.v 內容如下 : module RF1SHD_64x8 ( Q, CLK, CEN, WEN, A, D); output [7:0] Q; input CLK; input CEN; input WEN; input [5:0] A; input [7:0] D; endmodule RF1SHD_64x8.spi 內容如下 :.SUBCKT RF1SHD_64x8 + VDD VSS Q[0] Q[1] Q[2] Q[3] Q[4] Q[5] Q[6] Q[7] CLK CEN WEN + A[0] A[1] A[2] A[3] A[4] A[5] + D[0] D[1] D[2] D[3] D[4] D[5] D[6] D[7].ENDS 2. 將用來進行 APR 的 netlist 轉換成 spice 格式, 用來和 layout 比對 v2lvs v ( 用來 APR 的 netlist 名稱 ) l RF1SHD_64x8.v l tsmc13gfsg_fram_lvs.v l tpz013g3_lvs.v o CHIP.spi s RF1SHD_64x8.spi s tsmc13gfsg_fram_lvs.spi s tpz013g3_lvs.spi s1 VDD s0 VSS 3. 自行修改 Calibre lvs cur 中的 LAYOUT PRIMARY,LAYOUT PATH,SOURCE PRIMARY,SOURCE PATH, 並在檔案最後一行加上 LVS BOX RF1SHD_64x8 4. 在 LVS report 裡看到笑臉便成功了

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial VLSI Design Lab3 Dracula- Layout Verification Advisor Presenter: 2003/04/25 ACCESS IC LAB Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial Introduction ACCESS IC LAB Design

More information

Microsoft Word - Lab8_1213.docx

Microsoft Word - Lab8_1213.docx Lab 8: DRC and LVS 1 These Labs are in the CIC standard flow 2 在今天的 Lab 中, 我們會練習到 2.1 Stream In GDS with Virtuoso 2.2 Design Rule Check (DRC) 2.3 Layout Versus Schematic (LVS) 3 先複製並解壓縮 Calibre Lab 的檔案

More information

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

圖 1. 手 工 搭 棚 [www.diyzone.net] 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT 作 者 : 楊 哲 彰 (2005-02-23); 推 薦 : 徐 業 良 (2005-02-28) 附 註 : 本 文 為 元 智 大 學 機 械 系 自 動 化 機 械 設 計 課 程 教 材 Protel 電 腦 輔 助 電 路 設 計 軟 體 入 門 (2) PCB 製 作 與 輸 出 在 先 前 的 文 件 中, 介 紹 了 Protel 99 SE 的 基 本 操 作, 並 且 以 穩

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Microsoft PowerPoint - IC.ppt

Microsoft PowerPoint - IC.ppt Full Customer Design Flow using Laker and ICFB 元智資工超大型積體電路設計導論 Written by 蔣宇程 超大型積體電路導論 1 環境 作業系統 :Solaris 8 以上 工作站 :Sun workstation 教室 :1301 IC 設計學院 進入教室請勿攜帶食物及飲料 工作站有問題請找助教, 切勿自行關機或重開 不要長時間 lock 工作站,

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

enews172_1

enews172_1 / http://www.cic.org.tw/login/login.jsp CIC Package Design with Allegro APD 104 IC 104 T50UHV Introduction to Conversational French - Syllabus Summer 2004 1 14 2 12 CMOS MorSensorMorFPGA DUO MorSensor

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

Layout Skill_and_Varification

Layout Skill_and_Varification IC 佈 局 設 計 能 力 鑑 定 題 庫 及 參 考 解 答 學 科 題 庫 選 擇 題 - 共 50 題 術 科 題 庫 佈 局 題 - 共 1 題 DRC 除 錯 題 - 共 1 題 LVS 除 錯 題 - 共 1 題 - 1 - 考 試 注 意 事 項 : 測 驗 內 容 :( 詳 細 內 容 依 簡 章 公 告 為 主 ) 科 目 鑑 定 主 題 鑑 定 內 容 學 科 筆 試 共 計

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

PCB设计问题集

PCB设计问题集 http://www.maihui.net killmai@163.net PCB VIA 1. 2.Layer_25 Layer_25 3. 25 QFP-44P 1 2 PowerPCB Layer_25 DIP QFP SMD Library:FTL.PT4 Netlist:training.asc, Library:FTLPT4 Netlist:training.asc.!! Traing.PCB,

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

Text 文字输入功能 , 使用者可自行定义文字  高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。 GerbTool Wise Software Solution, Inc. File New OPEN CLOSE Merge SAVE SAVE AS Page Setup Print Print PreView Print setup (,, IMPORT Gerber Wizard Gerber,Aperture Gerber Gerber, RS-274-D, RS-274-X, Fire9000

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

100 地方政府公共管理個案選輯 整個名字聽起來就好像手機的牌子 磨先生其實人很好 相處 只是說話大剌剌的 什麼事情都無所謂的樣子 再加上年資高見多識廣 所以對於事情的輕重緩急有自 己的見解 故事大綱 最近這三個月 西海示範公墓拓建公園開發案 是鎮長交 辦給建設課的重要事項 泰奧對這個案子費心不少

100 地方政府公共管理個案選輯 整個名字聽起來就好像手機的牌子 磨先生其實人很好 相處 只是說話大剌剌的 什麼事情都無所謂的樣子 再加上年資高見多識廣 所以對於事情的輕重緩急有自 己的見解 故事大綱 最近這三個月 西海示範公墓拓建公園開發案 是鎮長交 辦給建設課的重要事項 泰奧對這個案子費心不少 99 個案四 團隊溝通 陳貞昌的 Lucky Days 李翠萍 中正大學政治學系副教授 場景 潭水鎮公所 人物介紹 泰 奧 建設課課長 姓泰名奧 可說是人如其名 泰奧對自己 很有自信 自我要求很高 他很少下錯判斷 做錯決策 大部分的時間他相信自己的表現是相當優異的 他從不 把別人的讚賞當一回事 當然 別人的批評他也是充耳 不聞 套句現在流行的一句話 他是個永遠 自我感覺 良好 的人 陳貞昌 大學一畢業就考上公務員

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Paratune用户手册

Paratune用户手册 PARATERA Paratune 用 户 手 册 v4.0 北 京 并 行 科 技 有 限 公 司 2013 / 10 目 录 1 手 册 说 明... 5 1.1 关 于 手 册... 5 1.2 排 版 约 定... 5 1.3 名 词 解 释... 5 1.4 相 关 文 档... 6 1.5 信 息 反 馈... 6 2 Paratune 简 介... 7 2.1 软 件 界 面 与 主

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

基于UML建模的管理管理信息系统项目案例导航——VB篇

基于UML建模的管理管理信息系统项目案例导航——VB篇 PowerBuilder 8.0 PowerBuilder 8.0 12 PowerBuilder 8.0 PowerScript PowerBuilder CIP PowerBuilder 8.0 /. 2004 21 ISBN 7-03-014600-X.P.. -,PowerBuilder 8.0 - -.TP311.56 CIP 2004 117494 / / 16 100717 http://www.sciencep.com

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

ebook111-4

ebook111-4 Flash 4 Flash 4 F l a s h 5 Flash 4 Flash Flash 4 Flash 4 Flash 4 4.1 Flash 4 Flash 4 Flash 4 Flash Flash 4 Flash 4 4.2 Flash 4 Flash 4 A Flash 4 S h i f t F i l e P r e f e r e n c e s > > Flash 4 Flash

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

目录

目录 ...1 2 1.1 ASIC...2 1.2.5 1.3 Ambit 7 2.1 2.2 Ambit.8 2.3 Ambit.9 2.4 13 Silicon Ensemble 15 3.1 15 3.2 Silicon Ensemble 16 3.3 Silicon Ensemble 3.4 SE.22 3.5.22 PKS(Physical knowledge synthesis)..24 4.1.2

More information

NT 4

NT 4 NT 4.0 Windows 2003 : Microsoft Windows NT Server 4.0 2004 12 31 Microsoft Windows 2003 Microsoft Windows Server 2003 : 1. 2. 3. 4. Total Cost of Ownership 5. 6. 7. XML Web Services Microsoft Certified

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

1-S40A...-1 DAT00452 V.005

1-S40A...-1 DAT00452 V.005 1. 1-S40A -1 Technical data: DATA SHEET Technical data Unit 1-S40A -1 OIML R60 D1 C3 Emax Max. capacity Kg 50,100,200,500 50,100,200,500 t 1, 2, 3, 5 1, 2, 3, 5 vmin % of Cn 0.0286 0.0120 Sensitivity mv/v

More information

Chemcad.doc

Chemcad.doc Chemcad 00-4 Chemcad Chemcad Chemstations Chemcad CHEMCAD Chemstations 1.1 CHEMCAD A. B. / C. / D. 1.2CHEMCAD CHEMCAD ChemCAD 50 1.3 CHEMCAD 39 K 13 K UNIFAC UPLM (UNIFAC for Polymers)Wilson T. K. Wilson

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

标题,黑体18号

标题,黑体18号 从 商 业 用 地 供 应 看 各 城 市 商 业 地 产 市 场 泡 沫 VIEW 近 几 年, 房 地 产 商 涉 足 商 业 地 产 领 域 的 现 象 越 来 越 普 遍, 包 括 万 科 龙 湖 招 商 等 典 型 房 企 先 后 专 门 设 立 了 商 业 地 产 管 理 部 门, 并 逐 步 加 大 了 对 商 业 地 产 的 投 入 比 例 放 眼 全 国 重 点 城 市, 短 短

More information

712s

712s Vickers Filters Target-Pro 11/95 712-C ............................................................... 3............................................................... 4...............................................................

More information

Microsoft Word - 議題三~1

Microsoft Word - 議題三~1 議 題 三 大 安 溪 長 期 放 流 管 對 地 下 水 質 和 沿 海 水 質 的 影 響 洪 正 義 ( 大 安 鄉 村 長 ): 首 先 我 在 這 裡 要 感 到 很 遺 憾 的, 大 安 鄉 長 期 來 除 了 說 承 受 上 游 的 污 染, 現 在 更 要 承 受 中 科 污 水 的 污 染 到 目 前 為 止, 大 安 鄉 關 於 中 科 的 環 保 資 料 可 說 少 得 說 相

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

SL2511 SR Plus 操作手冊_單面.doc

SL2511 SR Plus 操作手冊_單面.doc IEEE 802.11b SL-2511 SR Plus SENAO INTERNATIONAL CO., LTD www.senao.com - 1 - - 2 - .5 1-1...5 1-2...6 1-3...6 1-4...7.9 2-1...9 2-2 IE...11 SL-2511 SR Plus....13 3-1...13 3-2...14 3-3...15 3-4...16-3

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O ifix SCADA I/O ifix ifix I/O I/O SCADA I/O Copyright 2001, Intellution, Inc. 4-2 4.1. A SCU SCU - - - - B SCU SCADA - - I/O Copyright 2001, Intellution,

More information

目錄

目錄 資 訊 素 養 線 上 教 材 單 元 五 資 料 庫 概 論 及 Access 5.1 資 料 庫 概 論 5.1.1 為 什 麼 需 要 資 料 庫? 日 常 生 活 裡 我 們 常 常 需 要 記 錄 一 些 事 物, 以 便 有 朝 一 日 所 記 錄 的 事 物 能 夠 派 得 上 用 場 我 們 能 藉 由 記 錄 每 天 的 生 活 開 銷, 就 可 以 在 每 個 月 的 月 底 知

More information

1. 2. Flex Adobe 3.

1. 2. Flex Adobe 3. 1. 2. Flex Adobe 3. Flex Adobe Flex Flex Web Flex Flex Flex Adobe Flash Player 9 /rich Internet applications/ria Flex 1. 2. 3. 4. 5. 6. SWF Flash Player Flex 1. Flex framework Adobe Flex 2 framework RIA

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

工业和信息化部人才交流中心

工业和信息化部人才交流中心 乐 麦 夫 教 育 电 子 信 息 - 集 成 电 路 紧 缺 人 才 培 养 计 划 集 成 电 路 设 计 系 列 培 训 课 程 超 大 规 模 集 成 电 路 可 测 性 设 计 (DFT) 技 术 与 实 践 培 训 课 程 VLSI DFT Technology and Practice 第 一 期 中 国. 上 海 2016 年 4月 22 日 4 月 23日 各 有 关 单 位 :

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

HP LJ 4000 UG - ZHTW

HP LJ 4000 UG - ZHTW HP LaserJet 4000 4000 T 4000 N 及 4000 TN 雷 射 印 表 機 使 用 者 手 冊 版 權 所 有 惠 普 公 司 (Hewlett-Packard Company)1997 年 版 權 保 留 除 版 權 法 允 許 的 範 圍 之 外, 未 經 事 先 書 面 許 可, 不 得 複 製 修 改 或 翻 譯 本 手 冊 出 版 號 碼 C4118-90915

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

Oracle 4

Oracle 4 Oracle 4 01 04 Oracle 07 Oracle Oracle Instance Oracle Instance Oracle Instance Oracle Database Oracle Database Instance Parameter File Pfile Instance Instance Instance Instance Oracle Instance System

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information