Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th

Size: px
Start display at page:

Download "Introduction to Computer Systems /18-243, spring st Lecture, Jan. 12th"

Transcription

1 计算机组成原理第 N-2 讲 第六章总线系统 (2) 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 课程主页 : 1

2 回顾 : 总线的仲裁 主设备从设备 众多部件共享总线, 在争夺总线使用权时, 只能通过总线仲裁来解决 在传送通信时间上, 按照分时方式来解决 哪一个部件获得使用, 此刻就由它传送 下一个部件获得使用, 接着下一时刻传送 如何控制数据在主设备和从设备之间的传送? 2

3 总线的定时 CPU 内功能部件的工作需要时序信号 总线的信息传送也需要时序 目的 : 同步主方和从方的操作, 解决通信双方协调配合的问题 3

4 例子 CPU 访问主存 CPU 访问主存 访存三步骤 : 地址, 控制, 数据 CPU 系统总线 AB,CB,DB 主存 发地址发控制信号取数据 地址总线控制总线数据总线 4

5 总线传输周期 总线传输周期 请求总线 总线仲裁 主模块提出申请 总线仲裁决定获取使用权的主模块 寻址 ( 目的地址 ) 主模块向从模块给出地址和命令 信息传送 状态返回 主模块和从模块交换数据 主模块撤销有关信息 5

6 定时方式 通信的双方, 如何获知通信开始和通信结束, 以及通信双方如何协调和配合 同步定时 统一时钟控制数据传送 异步定时 采用应答 / 互锁方式, 没有公共时钟标准 6

7 同步定时 系统采用统一的时钟信号来协调发送接收双方的传送定时关系 总线中包含时钟信号线 一次 I/O 传送称为时钟周期或者总线周期 7

8 同步定时 读数据的同步总线操作时序很难做到同步需要主模块和从模块时钟频率完全一致 8

9 同步定时 写数据的同步总线操作时序 9

10 同步定时的特点 同步定时特点 : 事件出现在总线上的时刻由总线时钟信号来确定 由于采用了公共时钟, 每个功能模块什么时候发送或接收信息都由统一时钟规定, 因此, 同步定时具有较高的传输频率 同步定时适用于总线较短 各功能模块存取时间比较接近的情况 同步总线必须按照最慢的模块来设计公共时钟, 当各功能模块存取时间相差很大时, 会大大损失总线效率 10

11 异步定时 异步定时 ( 建立在互锁机制基础上 ) 允许总线上的各部件有各自的时钟, 在部件之间进行通信时没有公共的时间标准 后一事件出现在总线上的时刻取决于前一事件的出现, 用 应答方式 ( 也称为握手方式 ) 来进行 允许各模块的速度不一致, 给设计者充分的灵活性和选择余地 异步通讯中根据应答信号是否互锁, 即请求和回答信号的建立和撤消是否互相依赖, 异步通讯可分为三种类型 非互锁通讯 半互锁通讯和全互锁通讯 11

12 异步定时 请求 回答 主设备 从设备 不互锁 主模块发出请求信号后, 不必等待接到从模块的回答信号, 而是等一段时间, 便撤销其请求信号 从模块接到请求信号后, 在条件允许时发出回答信号, 并且经过一段时间觉得主模块已经收到回答信号后, 自动撤销回答信号 通信双方并无互锁关系 12

13 异步定时 半互锁 主模块发出请求信号, 必须待接到从模块的回答信号后再撤销其请求信号 从模块在接到请求信号后发出回答信号, 但不必等待获知主模块的请求已经撤销, 而是隔一段时间后自动撤销其回答信号 一方存在互锁关系, 一方不存在互锁关系, 故称半互锁方式 13

14 异步定时 主模块发出请求信号, 必须待从模块回答后再撤销其请求信号 从模块发出回答信号, 必须待获知主模块请求信号已经撤销后, 再撤销其应答信号 双发存在互锁关系, 故称全互锁方式 全互锁 14

15 异步定时 异步总线操作时序读周期 15

16 异步定时 异步总线操作时序写周期 16

17 异步定时 异步定时特点 : 总线周期长度可变, 允许快速慢速设备在同一条总线上 但会增加总线复杂性和成本 17

18 例题 某 CPU 采用集中式仲裁方式, 使用独立请求与菊花链查询相结合的二维总线控制结构 每一对请求线 BRi 和授权线 BGi 组成一对菊花链查询电路 每一根请求线可以被若干个传输速率接近的设备共享 当这些设备要求传送时通过 BRi 线向仲裁器发出请求, 对应的 BGi 线则串行查询每个设备, 从而确定哪个设备享有总线控制权 请分析说明图中所示的总线仲裁时序图 18

19 某 CPU 总线仲裁时序图 (1) 当某个设备请求使用总线时, 在该设备所属的请求线上发出申请信号 BRi (2)CPU 按优先原则同意后给出授权信号 BGi 作为回答 (3)BGi 链式查询各设备, 并上升从设备回答 SACK 信号, 证实已收到 BGi 信号 19

20 某 CPU 总线仲裁时序图 (4)CPU 接到 SACK 信号后下降 BG 作为回答 (5) 在总线 忙 标志 BBSY 为 0 情况该设备上升 BBSY, 表示该设备获得了总线控制权, 成为控制总线的主设备 (6) 在设备用完总线后, 下降 BBSY 和 SACK 20

21 总线数据传送模式 读 写操作 : 读操作是由从方到主方的数据传送 写操作是由主方到从方的数据传送 主方先以一个总线周期发出命令和从方地址 寻址周期 读写周期 主方完成寻址总线周期后可让出总线控制权, 以使其他主方完成更紧迫的操作 然后再重新竞争总线, 完成数据传送总线周期 21

22 总线数据传送模式 块传送操作 : 给出块的起始地址 然后对固定块长度的数据一个接一个地读出或写入 对于 CPU( 主方 ) 存储器 ( 从方 ) 而言的块传送, 常称为猝发式传送 块长一般固定为数据线宽度 ( 存储器字长 ) 的 4 倍 例如一个 64 位数据线的总线, 一次猝发式传送可达 256 位 这在超标量流水中十分有用 22

23 总线数据传送模式 写后读 读修改写操作 : 这是两种组合操作 只给出地址一次 ( 表示同一地址 ), 或进行先写后读操作, 或进行先读后写操作 前者用于校验目的, 后者用于多道程序系统中对共享存储资源的保护 这两种操作和猝发式操作一样, 主方掌管总线直到整个操作完成 23

24 总线数据传送模式 广播 广集操作 一般而言, 数据传送只在一个主方和一个从方之间进行 但有的总线允许一个主方对多个从方进行写操作, 这种操作称为广播 与广播相反的操作称为广集, 它将选定的多个从方数据在总线上完成 AND 或 OR 操作, 用以检测多个中断源 24

25 练习 某同步总线时钟频率为 100MHz, 宽度为 32 位 地址和数据总线复用, 每传输一个地址或数据占有一个时钟周期 若总线支持突发 ( 猝发 ) 传输方式, 则一次主存写总线事务传送 128 位数据需要的时间至少是 () A 20ns C 50ns B 40ns D 80ns 25

26 HOST 总线和 PCI 总线 典型的多总线结构框图 26

27 HOST 总线和 PCI 总线 HOST 总线 CPU 总线 系统总线 主存总线 前端总线 HOST 总线不仅连接主存, 还可以连接多个 CPU 连接 北桥 芯片与 CPU 之间的信息通路, 它是一个 64 位数据线和 32 位地址线的同步总线 32 位的地址线可支持处理器 4GB 的存储寻址空间 总线上还接有 L2 级 cache, 主存与 cache 控制器芯片 后者用来管理 CPU 对主存和 cache 的存取操作 CPU 拥有 HOST 总线的控制权 27

28 HOST 总线和 PCI 总线 PCI(Peripheral Component Interconnect) 总线 连接各种高速的 PCI 设备, 与处理器无关的高速外围总线 它采用同步时序协议和集中式仲裁策略 PCI 设备可以是主设备, 也可以是从设备 在 PCI 设备中不存在 DMA 的概念, 这是因为 PCI 总线支持无限的猝发式传送 系统中允许有多条 PCI 总线, 它们可以使用 HOST 桥与 HOST 总线相连, 也可使用 PCI/PCI 桥与已和 HOST 总线相连的 PCI 总线相连, 从而得以扩充 PCI 总线负载能力 28

29 HOST 总线和 PCI 总线 LEGACY 总线 可以是 ISA,EISA,MCA 等这类性能较低的传统总线, 以便充分利用市场上丰富的适配器卡, 支持中 低速 I/O 设备 HOST 桥是 PCI 总线控制器, 含有中央仲裁 连接两条总线, 使彼此间相互通信 总线转换部件, 可以把一条总线的地址空间映射到另一条总线的地址空间上 29

30 HOST 总线和 PCI 总线 总线周期类型 PCI 总线周期由当前被授权的主设备发起 PCI 支持任何主设备和从设备之间点到点的对等访问, 也支持某些主设备的广播读写 存储器读 / 写总线周期 存储器写和使无效周期 特殊周期 配置读 / 写周期 30

31 HOST 总线和 PCI 总线 总线周期操作 31

32 HOST 总线和 PCI 总线 PCI 总线周期的操作特点 : (1) 采用同步时序协议 (2) 总线周期由被授权的主方启动, 以帧 FRAME# 信号变为有效来指示一个总线周期的开始 (3) 一个总线周期由一个地址期和一个或多个数据期组成 在地址期内除给出目标地址外, 还在 C/BE# 线上给出总线命令以指明总线周期类型 (4) 地址期为一个总线时钟周期, 一个数据期在没有等待状态下也是一个时钟周期 一次数据传送是在挂钩信号 IRDY# 和 TRDY# 都有效情况下完成, 任一信号无效 ( 在时钟上跳沿被对方采样到 ), 都将加入等待状态 32

33 HOST 总线和 PCI 总线 PCI 总线周期的操作特点 : (5) 总线周期长度由主方确定 在总线周期期间 FRAME# 持续有效, 但在最后一个数据期开始前撤除 PCI 具有无限制的猝发能力, 猝发长度由主方确定, 没有对猝发长度加以固定限制 (6) 主方启动一个总线周期时要求目标方确认 即在 FRAME# 变为有效和目标地址送上 AD 线后, 目标方在延迟一个时钟周期后必须以 DEVSEL# 信号有效予以响应 否则, 主设备中止总线周期 (7) 主方结束一个总线周期时不要求目标方确认 33

34 数据传输 数据传输由启动方 ( 主控 ) 和目标方 ( 从控 ) 共同完成所有事件在时钟下降沿同步, 在时钟上升沿对信号线采样 34

35 数据传输 a. 总线主控设备获得总线控制权后, 将 FRAME# 驱动至有效电平, 开始此次传输 同时启动方将目标设备的地址放在 AD 总线上, 命令放在 C/BE# 线上 b. 目标设备从地址总线上识别出 c. 启动方停止启动 AD 总线, 同时改变 C/BE# 线上的信号, 并驱动 IRDY# 至有效电平, 表示已作好接收数据的准备 35

36 数据传输 d. 目标设备将 DEVSEL# 驱动至有效电平, 将被请求的数据放在 AD 总线上, 并将 TRDY# 至有效电平, 表示总线上的数据有效 e. 启动方读数据 f. 目标设备未准备好传送第二个数据块, 因此将 TRDY# 驱动至无效电平 36

37 数据传输 g. 第 6 个时钟, 目标方已将第三个数据块放到数据总线上, 但启动方未准备好, 故因此将 IRDY# 驱动至无效电平 i. 启动方知道第三个数据块是要传输的最后一个, 将 FRAME 驱动至无效电平, 停止目标方, 同时将 IRDY# 驱动至有效电平, 完成接收 j. 启动方将 IRDY# 驱动至无效电平, 总线回到空闲状态 37

38 InfiniBand 标准 38

39 本章小结 总线是构成计算机系统的互联机构, 是多个系统功能部件之间进行数据传送的公共通道, 并在争用资源的基础上进行工作 总线有物理特性 功能特性 电气特性 机械特性, 因此必须标准化 微型计算机系统的标准总线从 ISA 总线 (16 位, 带宽 8MB/s) 发展到 EISA 总线 (32 位, 带宽 33.3MB/s) 和 VESA 总线 (32 位, 带宽 132MB/s), 又进一步发展到 PCI 总线 (64 位, 带宽 264MB/s) 衡量总线性能的重要指标是总线带宽, 它定义为总线本身所能达到的最高传输速率 39

40 本章小结 当代流行的标准总线追求与结构 CPU 技术无关的开发标准 其总线内部结构包含 : 1 数据传送总线 ( 由地址线 数据线 控制线组成 ); 2 仲裁总线 ; 3 中断和同步总线 ; 4 公用线 ( 电源 地线 时钟 复位等信号线 ) 计算机系统中, 根据应用条件和硬件资源不同, 信息的传输方式可采用 : 1 并行传送 ; 2 串行传送 ; 3 复用传送 40

41 本章小结 各种外围设备必须通过 I/O 接口与总线相连 I/O 接口是指 CPU 主存 外围设备之间通过总线进行连接的逻辑部件 接口部件在它动态联结的两个功能部件间起着缓冲器和转换器的作用, 以便实现彼此之间的信息传送 总线仲裁是总线系统的核心问题之一 为了解决多个主设备同时竞争总线控制权的问题, 必须具有总线仲裁部件 它通过采用优先级策略或公平策略, 选择其中一个主设备作为总线的下一次主方, 接管总线控制权 按照总线仲裁电路的位置不同 : 集中式仲裁 : 仲裁方式必有一个中央仲裁器, 它受理所有功能模块的总线请求, 按优先原则或公平原则 分布式仲裁 分布式仲裁不需要中央仲裁器, 每个功能模块都有自己的仲裁号和仲裁器 41

42 本章小结 总线定时是总线系统的又一核心问题之一 为了同步主方 从方的操作, 必须制订定时协议, 通常采用同步定时与异步定时两种方式 在同步定时协议中, 事件出现在总线上的时刻由总线时钟信号来确定, 总线周期的长度是固定的 在异步定时协议中, 后一事件出现在总线上的时刻取决于前一事件的出现, 即建立在应答式或互锁机制基础上, 不需要统一的公共时钟信号 在异步定时中, 总线周期的长度是可变的 当代的总线标准大都能支持以下数据传送模式 :1 读 / 写操作 ;2 块传送操作 ;3 写后读 读修改写操作 ;4 广播 广集操作 42

43 本章小结 PCI 总线是当前实用的总线, 是一个高带宽且与处理器无关的标准总线, 又是重要的层次总线 它采用同步定时协议和集中式仲裁策略, 并具有自动配置能力 PCI 适合于低成本的小系统, 因此在微型机系统中得到了广泛的应用 InfiniBand 标准, 瞄准了高端服务器市场的最新 I/O 规范, 它是一种基于开关的体系结构, 可连接多达 个服务器 存储系统 网络设备, 能替代当前服务器中的 PCI 总线, 数据传输率高达 30GB/s 因此适合于高成本的较大规模计算机系统 43

44 SCSI 总线 I/O 技术实现在计算机和存储设备之间的数据交换 实现从 CPU 到存储系统的 I/O 通路的一个中间就是 SCSI(Small Computer System Interface) 系统总线 主机 I/O 总线 I/O 总线 44

45 I/O 总线 设备驱动器负责控制外围设备以及与外围设备的通信 针对存储设备的设备驱动器部分以软件形式存在, 该软件由 CPU 处理 由于跟存储设备通信的部分设备驱动器几乎总是以固件的形式实现, 该固件由特别的处理器 (ASIC:Application Specific Integration Circuit) 处理 当前这些 ASIC 有的集成到主电路板 ( 如 SCSI 控制器 ), 也有的通过附加的 PCI 卡连接到主板 这些附加的卡通常被称作控制器 存储设备通过主机总线适配器或者通过在板上的控制器连接到服务器 在控制器和外围设备之间的通信连接叫作 I/O 总线 45

Microsoft Word - 扉页.doc

Microsoft Word - 扉页.doc 第 3 章总线与主板 总线 (Bus) 是供多个部件分时共享的公共信息传送线路, 一个系统的总线结构决定了该计算机系统的数据通路及系统结构 它能简化系统设计 便于组织多家厂家进行专业化大规模生产, 降低产品成本 提高产品的性能和质量, 便于产品的更新换代 满足不同用户需求以及提高可维修性等, 因而得以迅速发展 自 1970 年美国 DEC 公司在其 PDP-11/20 小型计算机上采用 Unibus

More information

L29

L29 Lecture 27: System Bus 系统总线 总线基本概念 总线设计要素总线设计要素 总线标准总线标准 总线互连结构总线互连结构 总线的分类 总线在各层次上提供部件之间的连接和交换信息通路 分为以下几类 : 芯片内总线 : 在芯片内部各元件之间提供连接 - 例如,CPU 芯片内部, 各寄存器 ALU 指令部件等之间有总线相连 系统总线 : 在系统主要功能部件 (CPU MM 和各种 I/O

More information

Microsoft Word - 扉页.doc

Microsoft Word - 扉页.doc 第 3 章总线与主板 3.1 知识要点 总线 (Bus) 是由多个部件分时共享的公共信息传送线路 总线结构直接影响计算机各部件之间信息传递的效率 主板又称为主机板 (Mainboard) 系统板(Systemboard) 和母板 (Motherboard), 是安装在微型计算机机箱内的一块电路板, 其组成和布局, 决定了计算机的体系结构, 直接影响计算机的性能 所以, 本章包含如下内容 (1) 总线及其规范

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Microsoft Word - 3.doc

Microsoft Word - 3.doc 第 3 章计算机总线技术 随着微处理器技术的飞速发展, 使得计算机的应用领域不断扩大, 与之相应的总线技术也得到不断创新 本章主要讨论总线的分类及其结构, 并介绍几种常用的内部总线和外部总线 总线的基本概念 随着计算机设计的日益科学化 合理化 标准化和模块化, 计算机总线的概念也逐渐形成和完善起来 一般来说, 总线就是一组线的集合, 它定义了各引线的电气 机械 功能和时序特性, 使计算机系统内部的各部件之间以及外部的各系统之间建立信号联系,

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第十一章总线技术 * 一概述 二系统总线 三外部总线 第十一章总线技术 * 一概述 1 总线的分类 2 总线的主要性能指标 3 总线标准 4 各种总线 一概述 总线 (Bus) 是一组信号线的集合, 用来组成系统的标准信息通道 总线标准定义了各引线的信号 电气和机械特性, 使计算机各部件间以及外部各系统间建立信号联系, 进行数据传递和通信 有了总线标准, 就可制造出兼容性很强的计算机模块和外设, 在此基础上设计的软件兼容性好,

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

使用STM32F101xx和STM32F103xx的DMA控制器

使用STM32F101xx和STM32F103xx的DMA控制器 1 前言 使用 STM32F101xx 和 STM32F103xx 的 DMA 控制器 AN2548 应用笔记 使用 STM32F101xx 和 STM32F103xx DMA 控制器 这篇应用笔记描述了怎么使用 STM32F101xx 和 STM32F103xx 的直接存储器访问 (DMA) 控制器 STM32F101xx 和 STM32F103xx 的 DMA 控制器 Cortex TM -M3

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

COP中文范本

COP中文范本 四 川 宏 达 ( 集 团 ) 有 限 公 司 全 球 契 约 年 度 进 展 报 告 2010 年 5 月 13 日 目 录 高 管 致 辞... 1 公 司 简 介... 2 一 经 济 绩 效... 3 1. 体 系 与 政 策... 3 2. 工 作 与 成 果... 3 3. 反 馈 与 评 价... 3 二 环 境 绩 效... 3 1. 体 系 与 政 策... 4 2. 工 作 与

More information

冶金企业安全生产监督管理规定

冶金企业安全生产监督管理规定 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 国 家 安 全 生 产 监 督 管 理 总 局 国 家 安 全 生 产 监 督 管 理 总 局 令 第 26 号 冶 金 企 业 安 全 生 产 监 督 管 理 规 定 已 经 2009 年 8 月 24 日 国 家 安 全 生 产 监 督 管 理 总 局 局 长 办 公 会 议 审 议 通 过, 现 予 公 布, 自 2009 年 11 月

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 张 工 培 训 注 册 消 防 工 程 师 考 试 免 费 宣 讲 课 土 木 建 筑 培 训 领 导 者 1 关 于 资 格 考 试 科 目 及 报 考 条 件 1 什 么 时 候 考 试? 一 级 和 二 级 是 同 一 时 间 考 试 吗? 根 据 公 安 部 消 防 局 官 方 答 疑,2015 年 一 级 注 册 消 防 工 程 师 资 格 考 试 时 间 和 报 名 方 式 计 划 由

More information

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63>

<4D6963726F736F667420576F7264202D20BAECB1A6C0F6A3BAB7C7B9ABBFAAB7A2D0D0B9C9C6B1C4BCBCAFD7CABDF0CAB9D3C3B5C4BFC9D0D0D0D4B1A8B8E62E646F63> 证 券 代 码 :002165 证 券 简 称 : 红 宝 丽 公 告 编 号 :2010-022 南 京 红 宝 丽 股 份 有 限 公 司 非 公 开 发 行 股 票 募 集 资 金 使 用 的 可 行 性 报 告 二 〇 一 〇 年 十 月 1 目 录 第 一 节 募 集 资 金 使 用 计 划 3 第 二 节 本 次 募 集 资 金 投 资 项 目 可 行 性 分 析 3 第 三 节 本 次

More information

调 查 概 述, 调 查 主 要 发 现 为 此 绿 色 和 平 主 张 01 调 查 背 景 02 环 境 信 息 公 开 的 法 理 基 础 及 实 践 03 中 国 的 环 境 信 息 公 开 办 法 ( 试 行 ) 调 查 对 象 04 05 调 查 方 法 06 07 免 责 声 明 : 08 09 调 查 主 要 结 果 及 分 析 10 11 12 超 越 第 二 十 一 条 企 业

More information

计算机组成与系统结构

计算机组成与系统结构 第7章 输入输出 I/O 系统 键盘 鼠标 打印机都是常见的计算机外围设备 这些设备就是通常意义上所说的输入输出设备 从功 能上可以将输入输出设备分为两类 一类是完成输入输出操作的设备 另一类是作为外部存储器的设备 外部存储器的访问需要通过输入输出接口进行 因此也可以看作是一种输入输出设备 各种外围设备通过输入输出接口与计算机主机相连 完成主机分配的任务并进行信息交换 这就是输 入输出系统的功能 输入输出接口需要连接各种不同类型

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

Microsoft Word - 封面.doc

Microsoft Word - 封面.doc USB 系 统 体 系 中 国 电 力 出 版 社 致 谢 感 谢 各 位 参 与 MindShare 的 USB 入 门 课 程 的 工 程 师 他 们 的 意 见 和 见 解 非 常 有 价 值 感 谢 Don Coston 的 投 稿 特 别 感 谢 Tom 和 Nancy Shanley 的 关 心 和 支 持 关 于 本 书 MindShare 体 系 系 列 MindShare 体 系

More information

http://www.digiark.com/tian Email: tian@dr.com UTP STP (NIC-Network Interface Card) RAM OSI LAN ( ) OSI/RM OSI/RM (1) Router SwitchHub NIC CPURAMHard Driver (2) (CPURAM Hard Driver) Local Area Network)

More information

<4D6963726F736F667420576F7264202D20CFB5B7D62DCFC2CEE749CAD4CCE22D3037C9CF>

<4D6963726F736F667420576F7264202D20CFB5B7D62DCFC2CEE749CAD4CCE22D3037C9CF> 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 2007 年 上 半 年 系 统 分 析 师 下 午 试 卷 I ( 考 试 时 间 3:30~5:00 共 90 分 钟 ) 请 按 下 表 选 答 试 题 试 题 号 一 二 ~ 五 选 择 方 法 必 答 题 选 答 2 题 请 按 下 述 要 求 正 确 填 写 答 题 纸. 本 试 卷 满 分 75 分,

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

HighPoint产品的FAQ手册

HighPoint产品的FAQ手册 一 引 言 首 先 承 蒙 贵 公 司 赐 顾, 使 用 HighPoint ( 简 称 HPT) 系 列 产 品 以 下 是 根 据 多 年 来 合 作 的 客 户 所 提 出 的 问 题 而 总 结 出 的 有 关 HighPoint 系 列 产 品 的 FAQ, 欢 迎 您 随 时 提 出 批 评 建 议 以 便 我 们 及 时 改 进 谢 谢! 二 HighPoint RAID 产 品 技

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2 11 DMA 控制器 8237A 1 本章主要内容 : 11.1 8237A 的组成与工作原理 11.2 8237A 的时序 11.3 8237A 的编程和应用举例 2 作业 p355 1 3 5 6 3 11.1 8237A 的组成和工作原理 1 DMA 传送方式的实现方法 由专用接口芯片 DMA 控制器 ( 称 DMAC) 控制传送过程 ; 当外设需传送数据时, 通过 DMAC 向 CPU 发出总线请求

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

<4D F736F F D20D6D0B9FABCAFB3C9B5E7C2B720BBF9D3DA B5A5D0BEC6ACBCC6CBE3BBFACFB5CDB3B5C4C9E8BCC62E646F63>

<4D F736F F D20D6D0B9FABCAFB3C9B5E7C2B720BBF9D3DA B5A5D0BEC6ACBCC6CBE3BBFACFB5CDB3B5C4C9E8BCC62E646F63> 基于 8086 CPU 的单芯片计算机系统的设计 孙璐, 陶晶, 舒展, 张永志 ( 合肥工业大学电气学院自动化系, 安徽合肥 230009) 摘要 : 本文依据集成电路设计方法学, 探讨了一种基于标准 Intel 8086 微处理器的单芯片计算机平台的架构 研究了其与 SDRAM,8255 并行接口等外围 IP 的集成, 并在对 AMBA 协议和 8086 CPU 分析的基础上, 采用遵从 AMBA

More information

第五章 独立审计存在的问题

第五章    独立审计存在的问题 1 2 2002 7 1 1 3 27 10 4 52 1 1 2 5 2002 ISA 100 ISA 310 ISA 402 ISA 501 ISA 545 ISA 710 ISA 930 ISA 1001 ISA 1002 ISA 1003 ISA 1004 ISA 1008 ISA 1009 ISA 1010 ISA 1012 ISA 1013 ISA 1001 ISA 1003 ISA 1008

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

修 正 臺 中 市 西 區 區 公 所 編 制 表... 訂 定 臺 中 市 政 府 應 用 勞 工 保 險 資 料 管 理 要 點... 53 55 政 令 臺 中 市 政 府 警 察 局 第 六 分 局 警 員 蔡 榮 貴 警 務 佐 法 成 德 違 法 失 職 案, 經 公 務 員 懲 戒 委

修 正 臺 中 市 西 區 區 公 所 編 制 表... 訂 定 臺 中 市 政 府 應 用 勞 工 保 險 資 料 管 理 要 點... 53 55 政 令 臺 中 市 政 府 警 察 局 第 六 分 局 警 員 蔡 榮 貴 警 務 佐 法 成 德 違 法 失 職 案, 經 公 務 員 懲 戒 委 秋 字 第 二 期 法 規 修 正 臺 中 市 政 府 補 助 影 視 業 者 拍 片 取 景 辦 法, 名 稱 並 修 正 為 臺 中 市 政 府 補 助 及 協 助 拍 片 取 景 辦 法... 訂 定 臺 中 市 社 會 住 宅 出 租 辦 法... 修 正 臺 中 市 兒 童 及 少 年 家 庭 寄 養 辦 法... 修 正 臺 中 市 臨 時 性 建 築 物 管 理 辦 法 第 八 條 第

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

数据采集与智能仪器第十一章智能仪器体系结构 概述 11.2 总线基础知识 本章内容 GPIB 通用接口总线 11.4 VXI bus 仪器 11.5 PXI 和 PXIe 仪器 11.6 LXI 简介

数据采集与智能仪器第十一章智能仪器体系结构 概述 11.2 总线基础知识 本章内容 GPIB 通用接口总线 11.4 VXI bus 仪器 11.5 PXI 和 PXIe 仪器 11.6 LXI 简介 第十一章 智能仪器体系结构 数据采集与智能仪器第十一章智能仪器体系结构 2 11.1 概述 11.2 总线基础知识 本章内容 11.3 3 GPIB 通用接口总线 11.4 VXI bus 仪器 11.5 PXI 和 PXIe 仪器 11.6 LXI 简介 数据采集与智能仪器第十一章智能仪器体系结构 3 11.1 概述 智能仪器大致可分为以下三种基本类型 ( 此外还有 基于以太网的 LXI 仪器和虚拟仪器

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378>

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378> 高 等 校 本 科 物 联 网 工 程 专 业 应 用 型 人 才 培 养 指 导 意 见 (2016 版 ) 高 等 校 本 科 计 算 机 类 专 业 应 用 型 人 才 培 养 研 究 项 目 物 联 网 工 程 专 业 工 作 组 序 随 着 信 息 化 社 会 的 发 展, 计 算 机 技 术 越 来 越 重 要, 信 息 产 业 成 为 世 界 第 一 大 产 业 信 息 技 术 的

More information

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0 ( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 094711 : : : : * : : 174 ( A ) : 400044 : (023) 65102378

More information

<4D F736F F D20BBF9D3DA B5C DBFD8D6C6C6F7D4DAB8DFCBD9CAFDBEDDB2C9BCAFCFB5CDB3D6D0B5C4D3A6D3C32E646F63>

<4D F736F F D20BBF9D3DA B5C DBFD8D6C6C6F7D4DAB8DFCBD9CAFDBEDDB2C9BCAFCFB5CDB3D6D0B5C4D3A6D3C32E646F63> 论文原创性声明 本人郑重声明 : 此处所提交的论文 基于 FPGA 的 DDR SDRAM 控制器在高速数据采集中的应用, 是本人在导师指导下, 在哈尔滨工业大学攻读硕士学位期间进行研究工作所取得的成果 据本人所知, 论文中除已注明部分外不包含他人已发表或撰写过的研究成果 对本文的研究工作做出重要贡献的个人和集体, 均已在文中以明确方式注明 本声明的法律结果将完全由本人承担 作者签字门亮日期 : 2007

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

????????

???????? 深度学习 项目简介 葛丽丽 译 西蒙菲沙大学 教育学院 富有想像力的教育研究中心 电邮 ierg-ed@sfu.ca 网址: http://www.ierg.net 基本思想 开学的第一个星期 每个学生都会接到一个任意布置的主题 从此将围绕这个主题 进行深度学习 这些主题可能包括 鸟 苹果 马戏团 火车 和 太阳系 等内容 在接下来的小学 初中以及高中阶段 除了基本课程以外 学 生将继续深入地学习指定的题目

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

括 教 育 在 内 西 学 得 以 力 推 广 制 颁 发 和 癸卯 学制 实 施 更 使 教 育在 学 校 教 育 占 据 相 当 重 要 地 位 达到 了 前所 未 有 程度 以 学为 例 科 学 时数 占 总 学 时数 比 例 甚至超 过 国 文科 壬寅学 直维持 在 约 辛亥 革命 以 后

括 教 育 在 内 西 学 得 以 力 推 广 制 颁 发 和 癸卯 学制 实 施 更 使 教 育在 学 校 教 育 占 据 相 当 重 要 地 位 达到 了 前所 未 有 程度 以 学为 例 科 学 时数 占 总 学 时数 比 例 甚至超 过 国 文科 壬寅学 直维持 在 约 辛亥 革命 以 后 增 高 规 引 本 郝 江 当 成 北 讲 成 文 取 提 我 硕 最 此 ; 教 研 夕 由 文 按 争 解 课 以 有 本 当 ; 首 我 世 给 降 温? 教 育 政 策 角 度 理 性 思 考 当 前 争 议 郝 成 淼 江 苏 宿 迁 学 院 江 苏 宿 迁 ) 摘 要 前 为 教 育 政 策 实 践 基 础 关 键 词 价 值 判 断 国 出 现 了 有 关 现 象 进 行 了 解 析

More information

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言 ABeen 汇编语言 学习摘要 ABeen 学习记录 --- 汇编语言篇 QQ: 155235900 1 / 8 一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1.

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

137677_Eta_press Folder-cn用的.indd

137677_Eta_press Folder-cn用的.indd 美卓回收业务线废金属打包机林德曼 EP 系列金属打包机 ( Lindemann Eta Press ) 2 MINAO SAWES Eta Press 1920 1921 1922 1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939 1940 1941 1942 1943 1944

More information

0,,, IT,,,,,,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /. :, 2006. 1 ( ) ISBN 7-302-11305-X... - - - - -. F49 CIP ( 2005) 074697 : : h

0,,, IT,,,,,,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /. :, 2006. 1 ( ) ISBN 7-302-11305-X... - - - - -. F49 CIP ( 2005) 074697 : : h 0,,, IT,,,,,,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /. :, 2006. 1 ( ) ISBN 7-302-11305-X... - - - - -. F49 CIP ( 2005) 074697 : : http: / / www. tup. com. cn : 100084 : 010-62770175

More information

编号:CEL——

编号:CEL—— 编 号 :CEL 30 微 型 计 算 机 能 源 效 率 标 识 实 施 规 则 1 总 则 1.1 本 规 则 依 据 能 源 效 率 标 识 管 理 办 法 ( 国 家 发 展 改 革 委 和 国 家 质 检 总 局 第 17 号 令, 以 下 简 称 办 法 ) 制 定 1.2 本 规 则 适 用 普 通 用 途 的 台 式 计 算 机 具 有 显 示 功 能 的 一 体 式 台 式 微 型

More information

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂 江 西 省 新 农 合 基 本 用 药 目 录 第 一 部 分 西 药 部 分 序 号 药 品 名 称 剂 型 备 注 一 抗 微 生 物 1. 抗 生 素 类 1 青 霉 素 注 射 剂 2 普 鲁 卡 因 青 毒 素 注 射 剂 3 苯 唑 西 林 注 射 剂 4 氨 苄 西 林 口 服 常 释 剂 型 注 射 剂 5 氨 苄 西 林 丙 磺 舒 口 服 常 释 剂 型 6 青 霉 素 V 口

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

zt

zt ! ! !"" #" $ !"#$ % & " ())! "# ( ( * % & * % (+() (%, !"#$ "%& ( % !"!#$% $%&!"%! %& ( !" #$ %$!#!" & !" #$%$ &" ( ( ) * !! " #!$!! %&!! % ( ( &% )* )" ") (! !"#!"#!"$!!%!#%!&!(!(!)*!**!!%*!$* #") #")

More information

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储 第 1 章微型计算机概述 电子计算机是人类历史上最伟大的发明之一 人类从原始社会学会使用工具以来到现代社会经历了三次大的产业革命, 即农业革命 工业革命和信息革命 信息革命是以计算机技术和通信技术的发展与普及为代表的 随着计算机的广泛应用, 人类社会生活的各个方面都发生了巨大变化 特别是随着微型计算机技术和网络技术的高速发展, 计算机逐渐走进了人们的家庭, 改变着人们的生活和思维方式, 成为人们生活和工作不可缺少的工具,

More information

Training

Training 计算机组织与系统结构 输入 / 输出系统与总线 I/O System and Bus ( 第二十讲 ) 程旭 2012.12.26 本将内容的地位? 本讲主题 : I/O 系统 网络 Processor Control Memory Input Input Memory Processor Control Datapath Output Output Datapath 本讲提纲 I/O 性能与测度

More information

PowerPoint 簡報

PowerPoint 簡報 储存设备信息 1. MSI 建议您在升级前先向当地服务中心索取经测试的存储设备列表, 以避免可能遇到的硬件兼容性问题 2. 为达到最高的 SSD 效能,MSI 建议使用经 MSI 认证过的 SSD 并参考栏位内标注的 Stripe Size 设定 3. 2.5 寸 vs. msata vs. M.2 SSD 我需要哪一种 M.2 SSD Drive? 1. 插槽 & 卡榫 : 请根据您的笔记本来准备正确的

More information

东北证券股份有限公司关于推荐北京双杰电气股份有限公司

东北证券股份有限公司关于推荐北京双杰电气股份有限公司 东 北 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 海 印 环 保 科 技 股 份 有 限 公 司 股 票 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 的 推 荐 报 告 根 据 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 ( 以 下 简 称 全 国 股 份 转 让 系 统 公 司 ) 下 发 的 全 国 中 小 企 业 股 份 转 让 系

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

Microsoft Word - GY7502_USB-SPI_datasheet.doc

Microsoft Word - GY7502_USB-SPI_datasheet.doc GY7502 USB-SPI Adapter 产品使用说明书 产品型号 :GY7502 USB-SPI Adapter 手册版本 :V1.02 目 录 目录... 2 一 产品简介... 3 1.1 性能与技术指标... 3 1.2 典型应用... 3 1.3 通信协议转换... 3 1.4 产品销售清单... 3 1.5 技术支持与服务... 3 二 外形与接口描述... 4 2.1 产品外形...

More information

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐 回 忆 四 川 合 众 轮 船 公 司 孙 尊 山 一 四,Jll 合 众 轮 船 公 司 的 成 立 1. 创 办 的 动 机 四 川 宜 宾 ( 旧 叙 州 府 治 ) 据 长 江 上 游 金 沙 江 和 支 流 岷 江 入 口 处, 全 年 均 可 通 航 且 与 云 南 接 壤, 为 云 南 迤 东 一 带 货 物 输 出 输 入 必 经 之 地, 川 西 大 部 物 资 也 由 此 转 运,

More information

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600,

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600, 0 1 1 1 2 19 2 3 33 3 4 45 4 5 57 5 6 71 6 8 83 8 10 95 10 12 107 12 15 119 15 18 131 18 21 143 21 24 155 2 2 167 2 3 179 [ ] 191 0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34.

More information

2 分 析 过 程 (1) 通 过 核 查 其 他 应 收 款 明 细 账 银 行 存 款 明 细 账 现 金 明 细 账 银 行 回 单 分 析, 报 告 期 初 至 申 报 审 查 期 间 内, 公 司 与 控 股 股 东 实 际 控 制 人 及 其 控 制 的 其 他 企 业 资 金 往 来

2 分 析 过 程 (1) 通 过 核 查 其 他 应 收 款 明 细 账 银 行 存 款 明 细 账 现 金 明 细 账 银 行 回 单 分 析, 报 告 期 初 至 申 报 审 查 期 间 内, 公 司 与 控 股 股 东 实 际 控 制 人 及 其 控 制 的 其 他 企 业 资 金 往 来 西 南 证 券 股 份 有 限 公 司 关 于 宜 宾 清 和 环 保 科 技 股 份 有 限 公 司 挂 牌 申 请 文 件 的 反 馈 意 见 书 面 回 复 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 : 根 据 贵 司 关 于 宜 宾 清 和 环 保 科 技 股 份 有 限 公 司 ( 以 下 简 称 : 公 司 或 清 和 环 保 ) 挂 牌 申 请 文 件 反

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

2009 年第 6 期 高清总动员 35

2009 年第 6 期 高清总动员 35 要说 08 年最成功的高清机, 非三合一 F1/F2 莫属 它集中了国内不同的高清接收需求, 整合了当时能想到的各种功能, 为欣赏高清奥运, 满足高端发烧人士, 做出了贡献 F1/F2 的成功, 说明不依赖进口, 我们也有能力打造顶级的高清机, 并且更适合国内的使用习惯 不过, 即使 F1/F2 的终极版, 也不兼容 ABS-S 或 ISDB-S, 没有网络功能, 不能 USB 录像等等, 有一定的局限性

More information

Microsoft Word - Page0

Microsoft Word - Page0 全国高职高专规划教材 微型计算机原理与接口技术 射川主编 申毅 副主编 北 京 内容简介当今, 微型计算机正迅速融入我们工作与生活的方方面面 从技术上分析, 可以这样认为, 微型计算机的开发与应用, 在很大程度上就是微型计算机接口的开发与应用 因此, 微型计算机的原理及其接口技术就成为职业技术院校计算机和相近专业学生必不可少的基本技能 为此我们编写了本教材 本科学出版社独家出版 未经本书原版出版者和本书出版者书面许可,

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 2 八进制与二进制之间转换,(143) 8= 6 B,1 0000 0000.011B 的十进制为

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

一次访存请求最后真正的平均访存次数 =(71.3%*0)+(23.8%*1)+(3.8%*2)+(1.3%*3)=0.35 (2) 写回法 cache 访问命中, 有两种情况 : 读命中, 不访问主存 ; 写命中, 不访问主存 采用写回法, 只有当修改的 cache 块被换出时, 才写入主存 ; 访

一次访存请求最后真正的平均访存次数 =(71.3%*0)+(23.8%*1)+(3.8%*2)+(1.3%*3)=0.35 (2) 写回法 cache 访问命中, 有两种情况 : 读命中, 不访问主存 ; 写命中, 不访问主存 采用写回法, 只有当修改的 cache 块被换出时, 才写入主存 ; 访 Homework5: 1. 在 Cache 主存 层次中, 主存的更新算法有哪几种?? 它们各有什么特点? (1) 写直达法 : 易于实现, 而且下一级存储器中的数据总是最新的 (2) 写回法 : 速度块, 写 操作能以 Cache 存储器的速度进行 而且对于同一单元的多个写最后只需一次写回下一级存储器, 有些 写 只到达 Cache, 不到达主存, 因而所使用的存储器频带较低 2. 组相联 Cache

More information

大会资料上传1.PDF

大会资料上传1.PDF 2003 1 4 5 7 10 11 3 1 2003 1 1 2 3 4 5 6 2 2003 1 2001 3 2001 10 15 2002 10 14 2003 10 13 3 2003 1 4 2003 1 5 6 2003 1 2003 1 1 200MHz 7 2003 1 57,163 42,872.25 2 5,312 3 2,983 4 WTO 2,488 8 2003 1 5

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

东南大学学报 自然科学版 第 卷

东南大学学报 自然科学版 第 卷 第 卷第 期 年 月 东南大学学报 自然科学版 徐 进 邵毅明 彭其渊 蒋朝哲 以路线 驾驶者 车辆仿真系统为行车试验手段 根据行驶过程中方向盘角输入和转速的时域变化 分析了多条路线的操纵负荷特性 试验路线的设计车速 为 含长大圆 曲线 卵型 凸型 回头曲线等组合 结果表明 在 的山岭区高速公路上操纵车辆是非常容易的 当曲线半径大到一定程度时 曲线行驶和直线行驶已经不存在差别 但仍需要方向 干预 当行驶速度偏离设计车速不多时

More information