fgfdg

Size: px
Start display at page:

Download "fgfdg"

Transcription

1 CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与 C8051 器件通过通用 I/O 引脚连接 本文给出了硬件连接框图 电路原理图 时序图 示例代码和 性能评价 用 这种接口方式的应用包括 A/D 转换数据的采集 数据记录或任何其它涉及大量数据存储的应 关键点 该参考设计假定使用 10ns 的 SRAM 如果所用 SRAM 的访问时间大于 45ns 则需要加入 NOP 指令以延长地址建立时间及读 / 写选通时间 需要的引脚数取决于所支持的地址空间对于本设计的 128K 字节地址空间需要 21 个引脚 CYGNAL Integrated Products, Inc. 沈阳新华龙电子有限公司 4301 Westbank Drive 沈阳市和平区青年大街 284 号 58 号信箱 Suite B-100 Copyright 2001Cygnal Integrated Products, Inc. 电话 Austin, TX 版权所有 电邮 longhua@mail.sy.ln.cn 网址

2 如果设计中使用 SRAM 一定要向供应商查询产品的供货情况生产厂家正逐步淘汰很多低容量的 SRAM 器件 说明 这个外部 SRAM 接口示例使用一个 Integrated Device Technologies 生产的 IDT71V124SA10PH 128K X 8 位 3V SRAM 任何一个通用 SRAM 器件都可以在类似的方式下工作接口部分采用地址和数据总线复用的方式以减少所需要的端口引脚数当传输数据时低位地址保持在一个锁存器中第 5 页中的图 4 给出了该实现方案经过验证的配置图 双向端口操作 Data1 用作数据输入总线 输出总线和部分地址总线 对总线的复用需要对端口的配置进行 动态改变 使端口按需要设置为输入或输出 为了将一个端口引脚配置为输入必须将其相应的端口配置寄存器位 PRTnCF.x 设置为 0 使其输出方式为 漏极开路 寄存器锁存位 Pn.x 必须设置为 1 使其输出状态为高阻态 例如 下面的代码将端口 0 的所有引脚配置为输入 mov PRT0CF, #00h 漏极开路输出方式 mov P0, #0ffh 高阻抗 下面的代码将端口 0 的所有引脚配置为推挽输出方式 mov PRT0CF, #0ffh 推挽输出方式 SRAM_Read 子程序 见示例代码部分 给出改变端口方向的一个例子 在程序执行的前一阶 段 DATA1 口被配置为输出将低字节地址输出到端口锁存器在程序执行的第二阶段 DATA1 口被配置为输入 从外部 SRAM 读取数据 信号和接线 图 1 给出了 C8051F000 SRAM 地址锁存器之间的硬件连接框图整个电路原理图见图 4 连线标识及信号名说明如下在示例代码中以 DATA1 为标识的复用地址 / 数据总线 AD[7..0] 支持低 8 位地址和 8 位数据这种配置使得在 SRAM 与 C8051 之间传输数据时低位地址保持在锁存器 573 中这样就不需要再为数据传输增加 8 位端口在示例代码中以 ADDR 为标识的 A[15..8] 提供高 8 位地址在示例代码中以 A16 为标识的 A16 作为两个 64KB 存储块的选择信号为 0 时选择 1 块为 1 时选择 2 块 RD WR ALE 和 CS 为控制信号在示例代码中使用相同的名字 RD 是读选通信号低电平有效 WR 是写选通信号低电平有效 ALE 是地址锁存信号用于在数据传输期间保持低 8 位地址 CS 是 SRAM 的片选信号低电平有效 2 AN NOV Cygnal Integrated Products, Inc.

3 软件操作 SRAM_Init SRAM_Read 和 SRAM_Write 是用于访问外部 SRAM 的三个子程序 SRAM_Init 程序初始化 SRAM 接口逻辑和端口配置 该程序只在器件的初始化过程中被 调用 该程序假定交叉开关已经被允许 XBR2.6=1 例如 mov #40h 允许交叉开关 acall SRAM_Init 初始化 SRAM SRAM_Read 程序从外部 SRAM 读一个字节 调用该程序之前 先将 DPTR 装入 16 位的 待读地址 然后调用 SRAM_Read 该程序将从 DPTR 所指向的地址读到的数据返回到 ACC 例如 mov DPH, #00h 装入高字节地址 mov DPL, #00h 装入低字节地址 acall SRAM_Read 进行读操作 数据返回到 ACC SRAM_Write 程序将 ACC 中的一个字节写入到外部 SRAM 地址由 DPTR 指定 调用该 程序之前 将待写数据装入 ACC 将 DPTR 装入 16 位的待写地址 然后调用 SRAM_Write 例如 mov DPH, #00h 装入高字节地址 mov DPL, #00h 装入低字节地址 mov a, #55h 装入待写数据 acall SRAM_Write 进行写操作 示例代码中的主程序概述了如何对该外部 128KB SRAM 的每一个字节进行读写 该程序向外 部 SRAM 写入一个字节 再从写入的地址读回 然后比较回读的值与写入的值是否一致 程序接 着处理下一个地址 直到整个 64K 的存储块写完 一旦低存储块写完 程序将 A16 位置 1 见 示例代码中 常数和声明 一节 切换到高存储块 程序将接着对高存储块的每个字节进行同样 的读 写和校验操作 时序说明 图 2 和 3 分别给出按示例代码实现的读和写时序波形表 1 给出这些图形中的时间值 读时序说明 为 trdsu 表 1 是指从读选通信号有效到输出数据有效的时间 与这一时序相对应的代码 clr RD 使读选通有效 NOP 加入 NOP 指令延长 trdsu mov a, DATA 读数据 如上所示为了满足 SRAM 的建立时间需要在 clr RD 之后加入 NOP 指令 2001Cygnal Integrated Products, Inc. AN NOV00 3

4 写时序说明 如表 1 所示 twr 是指 /WR 脉冲的宽度 下面的代码段用于产生这一脉冲 clr WR 使写选通有效 NOP 加入 NOP 指令延长 twrsu setb WR 使写选通无效 如上所示 为了满足 SRAM 的建立时间 需要在 clr WR 之后加入 NOP 指令 性能 这一多路复用的并行接口实现方案使用一般的 I/O 端口却达到了较高的数据传输率从读或写过程的进入到返回一个字节读或字节写占用 34 个 SYSCLK 周期对于 20MHz 的 SYSCLK 相当于 1.7µs 这就是说可以达到的最大传输率为 588K 字节 / 秒一个 64K 的存储块可以在 137µs 内读或写完 4 AN NOV Cygnal Integrated Products, Inc.

5 符号 参数 周期 SYSCLK=20MHz 读周期 tale 锁存脉冲宽度 2 100ns trdsu 数据建立时间 2 100ns 写周期 tale 锁存脉冲宽度 2 100ns twasu 地址建立时间 3 300ns twdsu 数据建立时间 4 200ns twr 写脉冲宽度 2 100ns 表 1. 读和写周期时序 CS A[15..8] A AD[7..0] A7...0 D7...0 ALE tale /RD 图 2. 读周期时序波形 trds CS A[15..8] A AD[7..0] A7...0 D7...0 ALE /WR tale twdsu twr twasu 图 3. 写周期时序波形 2001Cygnal Integrated Products, Inc. AN NOV00 5

6 图 4. 经过测试的 C8051F k x 8 SRAM 和地址锁存器配置 6 AN NOV Cygnal Integrated Products, Inc.

7 示例代码 Copyright (C) 2000 CYGNAL INTEGRATED PRODUCTS, INC. 版权所有 文件名 : Sram.ASM 目标 MCU : C8051F000 说明 : 外部 SRAM 读 / 写验证程序 对 IDT 71V124SA 等价定义 $NOLIST $MOD8F000 $LIST 常量和声明 DATA1 EQU P3 数据端口引脚 (AD7..0) DATACF EQU PRT3CF 端口配置寄存器 对数据 ADDR EQU P2 地址端口引脚 (A15..8) ADDRCF EQU PRT2CF 端口配置寄存器 对地址 A16 EQU P1.5 最高地址位 ( 地址块选择 ) RD EQU P1.4 读选通 ( 低电平有效 ) WR EQU P1.3 写选通 ( 低电平有效 ) ALE EQU P1.2 地址锁存信号 ( 低电平有效 ) CS EQU P1.1 SRAM 片选信号 ( 低电平有效 ) 变量 复位和中断向量 复位向量 org 00h ljmp Main 主程序代码 Main: org 0B3h 2001Cygnal Integrated Products, Inc. AN NOV00 7

8 禁止看门狗定时器中断尚未被允许如果中断被允许我们应明确禁止中断 以保证下面的两条指令能在 4 个时钟周期内执行完 mov mov WDTCN, #0DEh WDTCN, #0ADh 设置交叉开关 Mov XBR2, #40h 弱上拉允许交叉开关 lcall SRAM_Init 初始化 SRAM mov R0, #0ffh mov DPH, #00h 初始化 16 位地址 mov DPL, #00h mov a, R0 装写入值 loop: Loop 将写一个值到 SRAM 然后读出与写入值比较 lcall SRAM_Write 写入 SRAM clr a 清除装入值 lcall SRAM_Read 读同一地址 cjne a, 00h, error 检查读到的值是否与写入值相同 inc dptr 下一地址 mov a, DPH 检查 DPTR 判断是否结束 dptr=0000h orl a, DPL jz b1done 如果 DPTR 循环回到初始值 说明我们已结束 了对第一个 64K 块的操作 mov a, R0 重装写入值 jmp loop 再次进行写 读和验证 b1done: orl P1, # b 转到对存储块 2 操作 mov R0, #0ffh mov DPH, #00h 初始化 16 位地址 mov DPL, #00h mov a, R0 装写入值 Loop1 将写一个值到 SRAM 然后读出 与写入值比较 loop1: lcall SRAM_Write 写入 SRAM clr a 清除装入值 lcall SRAM_Read 读同一地址 cjne a, 00h, error 检查读到的值是否与写入值相同 inc dptr 下一地址 mov a, DPH 检查 DPTR 判断是否结束 dptr=0000h orl a, DPL jz b2done 如果 DPTR 循环回到初始值 说明我们已结束 了对第一个 64K 存储块的操作 mov a, R0 重装写入值 jmp loop1 再次进行写 读和验证 8 AN NOV Cygnal Integrated Products, Inc.

9 b2done: jmp $ error: jmp $ 验证时发现错误 SRAM_Init 该程序初始化 SRAM 接口逻辑 必须在任何 SRAM_Read 或 SRAM_Write 操作之前 被调用一次 可作为复位操作的一部分 该程序假定交叉开关已经被允许 (XBR2.6 = '1') SRAM_Init: mov DATACF, #00h 允许端口 3(DATA) 作为输入总线 mov DATA1, #0ffh mov ADDRCF, #0ffh 允许端口 2(ADDR) 作为输出 mov ADDR, #0ffh 驱动为高电平 ($ff) orl PRT1CF, # b 允许 P 作为输出 anl P1, # b A16 = '0' ALE = '0' 存储块 1 orl P1, # b RD, WR, CS = '1' ret SRAM_Read 该程序读外部 SRAM 从 DPTR 指向的地址读取数据存到 ACC 此处未处理存储块选择 ( 操作 A16) SRAM_Read: clr CS 选择 SRAM mov ADDR, DPH 输出外部地址 A15..A8 mov DATACF, #0ffh 允许 AD7..0 为输出 mov DATA1, DPL 输出外部地址 A7..A0 setb ALE 锁存该地址 clr ALE mov DATACF, #00h 允许 AD7..0 为输入 mov DATA1, #0ffh clr RD 发出读选通 mov a, DATA1 读数据 ( 注 对于这片 SRAM 读操作建立时间 为 45ns 在 SYSCLK = 20MHz 时 该指令需 两个时钟周期 或 50ns * 2 = 100ns Setb RD 使读选通无效 setb CS SRAM 片选无效 ret 一个读操作共需 30 字接 34 个周期 SRAM_Write 2001Cygnal Integrated Products, Inc. AN NOV00 9

10 该程序写一个字接到外部 SRAM 将 ACC 中的字节写到 DPTR 指向的地址 此处未处理存储块选择 ( 操作 A16) SRAM_Write: clr CS 选择外部 SRAM mov ADDR, DPH 输出外部地址 A15..A8 mov DATACF, #0ffh 允许 AD7..0 为输出 mov DATA1, DPL 输出外部地址 A7..A0 setb ALE 锁存该地址 clr ALE mov DATA1, a 将数据送到数据总线 clr WR 启动写操作 setb WR 写选通无效 注 在 sysclk = 20MHz 时 这种方式将产生 100ns 宽的写脉冲 该 SRAM 所要求的最小值为 7ns mov DATACF, #00h 允许 AD7..0 为输入 mov DATA1, #0ffh setb CS SRAM 片选无效 ret 文件结束 END 10 AN NOV Cygnal Integrated Products, Inc.

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - ha0013s.doc

Microsoft Word - ha0013s.doc 文件编码 :HA0013s 简介 : 本文介绍利用 8 位微控制器控制 DV16100NRB 液晶显示驱动器的方法 该 LCM 由内置的 Hitachi HD44780 进行驱动及控制 本文应用中, 着重考虑如何使微控制器产生正确的信号以符合 LCM 所需的时序 若要获得详细的时序及指令信息, 请查阅 LCM 厂商的资料 LCM 能以 4 位或 8 位模式工作 在 4 位模式下, 传送一个字符或一条指令需两个传输周期完成

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂 江 西 省 新 农 合 基 本 用 药 目 录 第 一 部 分 西 药 部 分 序 号 药 品 名 称 剂 型 备 注 一 抗 微 生 物 1. 抗 生 素 类 1 青 霉 素 注 射 剂 2 普 鲁 卡 因 青 毒 素 注 射 剂 3 苯 唑 西 林 注 射 剂 4 氨 苄 西 林 口 服 常 释 剂 型 注 射 剂 5 氨 苄 西 林 丙 磺 舒 口 服 常 释 剂 型 6 青 霉 素 V 口

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc 自定义下载应用说明 一 适用产品 :SM59XX 系列 SM59D XX 系列 SM59R XX 系列二 应用方式 : 可以让使用者自定义 command 作为进入 ISP 刻录的通关指令, 透过 UART 连接 ISAP 软件做联机更新三 操作说明 ( 使用 SM59D04G2 为例 ): 1. ISAP 操作方式 : 1.1 先将主程序及 ISP 服务程序烧进 MCU 中 1.2 将 MCU 放至系统版上,

More information

Microsoft Word - FX-VXC256-VER1.0.doc

Microsoft Word - FX-VXC256-VER1.0.doc FX-VXCC256 VGA 控制器使用手册 Ver1.0 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 VGA 接口 (J4) 引脚定义...5 三 指令操作说明...6 四 FX-VXC256 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

Microsoft Word - FX-T8048C256A.doc

Microsoft Word - FX-T8048C256A.doc FX-T8048C256A 液晶显示控制器使用手册 一 简介...2 二 控制板接口与设置...2 1 控制板外形尺寸:...2 2 与 MPU 的接口 (J2) 引脚定义...3 3 液晶显示接口(J3) 引脚定义...5 三 指令操作说明...6 四 FX-T8048C256A 应用...6 1 直接访问方式...7 2 间接控制方式...8 3 256 色应用程序模块...10 子程序一 :

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

Copyright iresearch Inc. 1

Copyright iresearch Inc. 1 Copyright iresearch Inc. 1 Copyright iresearch Inc. 2 Copyright iresearch Inc. 3 Copyright iresearch Inc. 4 Copyright iresearch Inc. 5 Copyright iresearch Inc. 6 Copyright iresearch Inc. 7 Copyright iresearch

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

untitled

untitled Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright

More information

iresearch Copyright iresearch Inc. 1

iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 2 iresearch Copyright iresearch Inc. 3 iresearch Copyright iresearch Inc. 4 iresearch Copyright iresearch Inc. 5 iresearch Copyright

More information

目 录

目 录 目录 第 1 章 Philips 单片机 P89V51RD2 简介. 1 1.1 概述 1 1.2 特性 1 1.3 引脚图 2 第 2 章 ISP 与 IAP 简介 3 2.1 名词解释 3 2.2 传统的烧录方式 3 2.3 ISP 烧录方式. 3 2.4 IAP 烧录方式. 3 第 3 章 P89V51RD2 的 IAP.. 4 3.1 P89V51RD2 的 Flash 存储结构.... 4

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

Microsoft Word - 鄂卫办函[2009]64号.doc

Microsoft Word - 鄂卫办函[2009]64号.doc 湖 北 省 卫 生 厅 鄂 卫 办 函 2009 64 号 省 卫 生 厅 关 于 建 立 湖 北 省 职 业 卫 生 技 术 评 审 专 家 库 的 通 知 各 市 州 直 管 市 林 区 卫 生 局, 部 省 属 医 疗 卫 生 单 位, 各 有 关 大 专 院 校, 有 关 科 研 企 事 业 单 位 : 为 加 强 我 省 职 业 病 防 治 技 术 队 伍 建 设, 推 进 全 省 职 业

More information

全宋词1

全宋词1 ( ) ...1...1...1...2...2...2...3...4...4...4...4...5...5...5...6...6...7...7...7...8...8...9...9 1 ... 10... 10... 11... 11... 11... 11... 12... 13... 13... 14... 14... 14... 14... 15... 16... 16... 16...

More information

& ((& ) ((

& ((& ) (( & ( ) * * & + & ((& ) (( 1 * & /1 * & ( 1 0 ; * & ) 0 ) & * * +, & - / & & ) * (1 ( & 2 & 3 * +1 * &,1 * +1,1 & 1 & /1 ) 1 0 & 0 + 0, 0 / +1 & 0 & 2 *,1 0 & & 2 * 0 * ) ) 0 ) * 1 0 & ) 2 * /1 & 0 ) 2 *

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵 中 国 药 典 2015 年 版 目 录 一 部 药 材 和 饮 片 1 一 枝 黄 花 2 丁 公 藤 3 丁 香 4 九 里 香 5 九 香 虫 6 人 工 牛 黄 7 人 参 8 人 参 叶 9 儿 茶 10 八 角 茴 香 11 刀 豆 12 三 七 13 三 白 草 14 三 棱 15 三 颗 针 16 千 年 健 17 千 里 光 18 千 金 子 19 千 金 子 霜 20 土 木 香

More information

43081.indb

43081.indb 163 56 52 55 45 56 64 62 45 61 195156200712 19751219901119974 1997420009 2000920026 20026 195552200712 19826 199261994819948 19991019991020009 2000920026 200262007122004 5 164 195255200712 2000919931220009

More information

前 言 为深入贯彻落实2012年中央1号文件精神 推进 农业科技促进年 活动 激励扎根农村基层 为农 业科教兴村作出杰出贡献的带头人 农业部于2012年 启动了百名农业科教兴村杰出带头人资助项目 该项 目是江苏华西集团公司捐赠500万元在中华农业科教基 金会设立 华西仁宝基金 开展的专项资助活动 资 助项目计划三年面向全国资助100名农业科教兴村杰出 带头人 每人资助5万元 按照项目申报和评审工作要求

More information

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 1 序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 积 累 了 许 多 独 特 的 光 彩 与 体 验 2001 年 我 在 中 国 青 少 年 发

More information

123 5060 50 5060 19 5060 19

123 5060 50 5060 19 5060 19 122 201011 1975 1974 1976 123 5060 50 5060 19 5060 19 124 50 60 1976 L 19 5060 19741976 50 125 19 126 80 80 127 1975 21 1974 1974 50. 128 / / 50 129 130 L AA 131 70 132 1974 70 133 1980 2010 80 134 T 1980726

More information

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是 内 容 简 介 这 是 一 部 自 传 体 小 说, 也 是 一 本 向 年 轻 人 传 授 发 财 之 道 的 教 科 书 2005 年, 作 者 老 康 三 十 而 立, 带 着 老 婆, 拖 着 儿 子 ; 没 有 存 款, 没 有 房 子 ; 读 的 是 烂 学 校 破 专 业, 一 无 所 长 ; 毕 业 后 混 了 多 年, 稀 里 糊 涂, 不 幸 下 岗 ; 因 为 混 得 差, 朋

More information

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工 2 工 造 价 1 新 纪 元 广 场 房 屋 建 筑 40689 11000 中 机 环 建 集 团 有 限 公 叶 正 君 2 3 大 上 海 御 龙 湾 二 期 A 区 A2~A4 商 业 楼 A 区 地 下 车 库 万 科 蜀 冈 地 块 商 品 房 项 目 二 期 工 ( 万 科 花 园 )1#-8# 住 宅 楼 A16-2 地 下 车 库 工 幼 儿 园 13# 15# 18# 20#

More information

第一篇 建置区划

第一篇 建置区划 第 八 篇 金 融 保 险 1986 年 至 2003 年, 全 县 金 融 体 制 不 断 改 革, 金 融 体 系 逐 步 形 成, 各 金 融 机 构 运 转 正 常, 存 贷 款 余 额 增 长, 逐 步 扭 亏 为 盈 全 县 4 大 保 险 公 司 开 展 多 种 保 险 业 务, 保 费 收 入 增 长, 理 赔 规 范 及 时 第 一 章 金 融 1986 年 至 2003 年, 蒙

More information

untitled

untitled 33 3 17 No. 35 1 2 3 18 179 104 4 5 6 19 No. 35 7 8 20 9 21 No. 35 10 22 23 No. 35 24 11 AA 25 No. 35 12 26 13 2013 27 No. 35 14 28 29 No. 35 15 30 16 17 31 No. 35 18 32 19 20 33 No. 35 21 34 22 2016 1

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

CH341DS2.DOC

CH341DS2.DOC CH341 中文手册 ( 二 ) 1 1 并口功能说明 USB 总线转接芯片 CH341 中文手册 ( 二 ): 并口及同步串口说明版本 :2C http://wch.cn 1.1. 一般说明 CH341 的并口是主动式并口, 在计算机端的程序控制下, 可以直接从外部电路输入输出数据, 一般不需要外接单片机 /DSP/MCU CH341 的并口主要有 2 种接口方式 :EPP 方式和 MEM 方式

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

§4 数控系统

§4 数控系统 4 数控系统 2) 液晶模块的软件接口程序 液晶模块 LCD 的口地址定义如下 : CMD_RD_LCD EQU 0D001H ; 读状态口 CMD_WR_LCD EQU 0D000H ; 写命令口 DATA_RD_LCD EQU 0D003H ; 读状态口 DATA_WR_LCD EQU 0D002H ; 写数据口 部分软件接口程序如下, 程序框图略 ; 液晶屏初始化子程序 ; 占用寄存器 : DPTR,R2,A

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378>

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378> 科 別 : 國 文 科 (A 區 ) 分 發 16 名 1 600110129 黃 毅 潔 國 立 豐 原 高 級 商 業 職 業 學 校 2 600110446 鄭 安 芸 國 立 南 投 高 級 中 學 3 600110632 李 孟 毓 桃 園 市 立 大 園 國 際 高 級 中 學 4 600110492 洪 珮 甄 南 投 縣 立 旭 光 高 級 中 學 5 600110262 柯 懿 芝

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

- 22-4 58 4 5 1998 12 415 416 6 431 7 1993 359

- 22-4 58 4 5 1998 12 415 416 6 431 7 1993 359 - 21-1 2 1 52 1963 1 7 154 2 1478 62 3 202 193-21 - - 22-4 58 4 5 1998 12 415 416 6 431 7 1993 359 - 23-8 8 5 1573 9 10 2120 1982 2 11 1480 729-730 - 23 - - 24-12 1007~1072 14 15 12 1997 4 9-10 13 1997

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information