Microsoft Word - Page0

Size: px
Start display at page:

Download "Microsoft Word - Page0"

Transcription

1 全国高职高专规划教材 微型计算机原理与接口技术 射川主编 申毅 副主编 北 京

2 内容简介当今, 微型计算机正迅速融入我们工作与生活的方方面面 从技术上分析, 可以这样认为, 微型计算机的开发与应用, 在很大程度上就是微型计算机接口的开发与应用 因此, 微型计算机的原理及其接口技术就成为职业技术院校计算机和相近专业学生必不可少的基本技能 为此我们编写了本教材 本科学出版社独家出版 未经本书原版出版者和本书出版者书面许可, 任何单位和个人均不得以任何形式或任何手段复制或传播本书的部分或全部内容 合同号 : 图字 号 图书在版编目 (CIP) 数据单片机原理与应用实训教程 / 徐正惠等编著. 北京 : 科学出版社, Ⅰ. Ⅱ. Ⅲ. 中国版本图书馆 CIP 数据核字 (2004) 第 号 策划编辑 : 李振格 / 责任编辑 : 熊盛新责任印制 : 吕春珉 / 封面设计 : 东方人华平面设计室 出版北京东黄城根北街 16 号邮政编码 : 印刷科学出版社发行各地新华书店经销 * 2004 年 2 月第一版 2004 年 2 月第一次印刷印数 : 定价 :20.00 元 开本 : /16 印张 :13 1/2 字数 :

3 全国高职高专规划教材编委会名单 主 任俞瑞钊 副主任陈庆章蒋联海周必水刘加海 委 员 ( 以姓氏笔画为序 ) 王雷王筱慧方程 方锦明卢菊洪代绍庆 吕何新朱炜 刘向荣江爱民江锦祥孙光弟 李天真李永平李良财李明钧李益明余根墀 汪志达沈凤池沈安衢张元 张学辉张锦祥 张德发陈月波陈晓燕邵应珍范剑波欧阳江琳 周国民周建阳赵小明胡海影秦学礼徐文杰 凌彦曹哲新戚海燕龚祥国章剑林蒋黎红 董方武鲁俊生谢川 谢晓飞楼丰 楼程伟 鞠洪尧秘书长熊盛新

4 本书编写人员名单 主编谢川副主编申毅撰稿人刘葵何丽莉富众杰郑培林

5 当今, 微型计算机正迅速融入我们工作与生活的方方面面 从技术上, 可以这样认为, 微型计算机的开发与应用在很大程度上就是微型计算机接口的开发与应用 因此, 微型计算机的原理及其接口技术就成为职业技术院校计算机和相关专业学生必不可少的基本技能 本教材以主流的 IBM-PC 系列及其兼容微型计算机为例, 详细地介绍了微型计算机的组成原理及接口技术 全书共分 13 章 第 1 章主要介绍微型计算机系统组成以及微型计算机接口的基础知识 ; 第 2 章主要介绍微处理器的基本结构以及与接口交换数据的方式 ; 第 3 章主要介绍 I/O 端口地址译码技术 ; 第 4 章主要介绍半导体存储器与接口 ; 第 5 章主要介绍微型计算机系统总线与接口标准及其应用 ; 第 6 章主要介绍微型计算机的中断系统 ; 第 7 章主要介绍微型计算机中的定时与计数器 ; 第 8 章主要介绍并行接口电路 ; 第 9 章主要介绍串行接口电路 ; 第 10 章主要介绍微型计算机外围设备及其接口 ; 第 11 章主要介绍模 / 数转换器接口 ; 第 12 章主要介绍 DMA 技术 ; 第 13 章主要介绍微型计算机系统的各种软接口 本教材在内容上注重理论联系实际, 一切从应用出发 由浅入深 概念明确 条理清楚, 同时力求以最新技术和资料呈现给读者, 以满足读者为跟上快速发展的微型计算机技术现状的需要 因此, 非常适合高等职业技术教育与计算机类专业教学的要求与特点 采用本教材的课程, 建议安排 90 学时, 包括实验 38 学时 ( 可参照配套实验教材进行相对独立的教学 ), 也可根据地域 学校 专业和教学对象的不同适当增减 本教材适用面广, 主要用作高等职业教育计算机或相近专业课程的教材, 也可作为各类专业培训或从事计算机应用与开发的工程技术人员自学参考用书 本教材由谢川住主编, 申毅副住副主编 第 1 章 第 5 章 第 10 章 第 13 章由谢川编写, 第 7 章和第 11 章由申毅编写, 第 2 章由刘葵编写 第 4 章和第 12 章由何丽莉编写, 第 8 章和第 9 章由富众杰编写 第 3 章第第 6 章由郑培林编写 由于编者水平有限, 书中难免存在不妥之处, 恳请广大读者与专家批评指正 编者 2003 年 6 月

6 第 1 章绪论 微型计算机系统组成概述 硬件 软件 微型计算机接口概述 接口的功能 接口的分类 接口的组成 微处理器与接口交换数据的方式 习题 第 2 章微处理器 微处理器的基本结构 /8088 内部结构 引脚信号与功能 时序与操作 Pentium 微处理器 Pentium 微处理器概述 Pentium 典型产品 习题 第 3 章接口与 I/O 端口 接口 接口的信息 接口的功能 接口电路的组成 接口类型 接口技术的发展趋势 I/O 端口及其编址方式 I/O 端口 I/O 端口的输入输出指令 I/O 端口的编址方式 I/O 接口的端口的地址译码 I/O 端口的寻址特点 I/O 端口的地址分配 I/O 端口的地址译码方式... 43

7 iv 微型计算机原理与接口技术 3.4 微处理器与外部设备之间的数据传输方式 数据传输方式的分类 不同数据传输方式的工作原理 习题 第 4 章半导体存储器及其接口 半导体存储器概述 半导体存储器的分类 半导体存储器的一般结构 半导体存储器的主要技术指标 RAM SRAM DRAM ROM 掩膜式 ROM 可编程 ROM 可擦除可编程 ROM 电可擦除可编程 ROM 闪存 高速缓冲存储器 高速缓存的工作原理 高速缓存与主存的存取一致性 高速缓存的分级体系结构 半导体存储器与微处理器的连接 需要考虑的问题 扩展 地址的选择 数据信号线与控制信号线的连接 微型计算机内存的分配和使用 IBM PC/XT 中的存储空间分配 扩展存储器及其管理 DOS 环境下的内存管理 习题 第 5 章系统总线与接口标准 系统总线与接口标准概述 ISA 总线标准 ISA 总线标准概述 ISA 总线插槽与引脚信号 EISA 总线标准 EISA 总线标准概述... 97

8 目录 v EISA 总线插槽与引脚信号 EISA 总线结构 Micro-Channel 总线标准 PCI 总线标准 PCI 总线标准概述 PCI 总线插槽与引脚信号 PCI 总线结构 AGP 图形加速端口 AGP 图形加速端口概述 AGP 插槽与系统结构 PCI 与 AGP 的比较 SCSI 接口标准 SCSI 接口标准概述 SCSI 接口与引脚信号 IDE 和 EIDE 接口标准 IDE 和 EIDE 接口标准概述 IDE 接口与引脚信号 USB 接口标准 USB 接口标准概述 USB 设备及其描述器 USB 系统的组成 USB 接口与信号 IEEE 1394 高性能串行总线标准 习题 第 6 章中断系统 中断的基本概念 中断系统概述 中断处理过程 中断优先级管理 微型计算机的中断系统 硬件 ( 外部 ) 中断 软件 ( 内部 ) 中断 中断优先级 中断向量表 中断响应和处理过程 可编程中断控制器 8259A A 的主要性能 A 的引脚和内部结构 A 的工作方式

9 vi 微型计算机原理与接口技术 A 的命令字 A 芯片在微型计算机中的应用 习题 第 7 章定时 / 计数器 定时 / 计数器概述 可编程定时 / 计数器 8253/ /8254 的内部结构和引脚功能 /8254 的工作方式 /8254 在微型计算机中的应用 中断和刷新应用 扬声器应用 时钟电路 MC 及其应用 MC 的内部结构和引脚功能 MC 的工作方式 习题 第 8 章并行接口 并行接口技术概述 可编程并行接口 8255A 内部结构 引脚信号 控制字 工作方式 A 芯片在微型计算机中的应用 微处理器与 8255A 的连接 A 的应用示例 习题 第 9 章串行接口 串行通信的基本概念 串行通信 串行通信的数据传输方向 串行通信方式 信号的调制与解调 差错控制 信道复用 串行接口标准 可编程串行接口 8251A 内部结构 引脚信号 控制字和初始化编程

10 目录 vii 9.4 串行接口设计实例 习题 第 10 章外围设备及接口 键盘及其接口 标准键盘及其接口 扩展键盘及其接口 打印机及其接口 显示器及其接口 显示控制器 显示方式和显示标准 CGA 标准的工作原理与编程 EGA/VGA 标准的工作原理 EGA/VGA 标准的显示编程 习题 第 11 章模 / 数转换器接口 A/D 转换器接口概述 D/A 转换器概述 D/A 转换器的基本原理 D/A 转换器技术指标 D/A 转换器接口电路设计 DAC0832 芯片介绍 DAC 0832 芯片的接口设计 A/D 转换器的基本原理 计数型 A/D 转换器 双积分型 A/D 转换器 逐次逼近型 A/D 转换器 并行 A/D 转换器 A/D 转换器的技术指标 A/D 转换器接口电路设计 集成 A/D 转换器 ADC0809 芯片 ADC0809 的接口设计 微型计算机系统的 A/D 转换通道 多路模拟开关 采样保持电路 习题 第 12 章 DMA 技术 DMA 技术概述 DMA 的组成与作用 DMA 的传送过程

11 viii 微型计算机原理与接口技术 DMA 的工作方式 DMA 控制器 DMA 控制器在系统中的两种工作状态 A 芯片的内部结构和引脚功能 A 芯片的内部寄存器 软件命令 DMA 控制器的工作时序 A 芯片在微型计算机中的应用 A 的编程 A 在 IMB PC 系列机上的应用 DMA 写传送 DMA 设定子程序 习题 第 13 章微型计算机系统的软接口 系统 BIOS 和 DOS 功能基础 系统 BIOS 功能 系统 DOS 功能 BIOS 设置程序 AWARD BIOS 设置程序 AMI BIOS 设置程序 DOS 和 BIOS 功能的调用 调用格式的描述 功能的调用 对外围设备的控制 键盘的控制 显示器的控制 打印机的控制 定时器和实时钟的控制 串行通信接口的控制 磁盘的 DOS 和 BIOS 调用 习题

12 第 1 章绪 论 当今, 微型计算机 (Microcomputer) 技术高速发展, 性能不断提高而硬件成本逐年降低 由此应用范围迅速扩展, 泛及各个技术领域, 同时也进入了家庭 微型计算机诞生于 20 世纪 70 年代初, 是第四代电子计算机的一个分支, 它最主要的特点是其中央处理器 (Central Processing Unit,CPU) 采用了大规模集成电路技术将控制器和运算器集成制作在一块集成芯片上, 从而有别于其他类型计算机以相当多的集成电路甚至于分离元件组成 CPU 一般也将微型计算机的 CPU 称为微处理器 (Micro Processing Unit,MPU) 在微型计算机 30 余年的发展史中, 值得提及的是美国 IBM 公司, 它采用 Intel 公司的 8088 芯片作为 CPU, 配以其他外围设备于 1981 年 8 月推出的 IBM-PC(Personal Computer, 个人计算机 ) 微型计算机 这种微型计算机采用模块化开放型的设计结构, 使之拥有极大的功能弹性和系统相容性 在它推出后, 由于 IBM 公司公开了其完整的技术资料 ( 包括系统指令代码 ), 加上在设计上采用了开放式的构造, 使其他的生产厂商纷纷研制 生产与其配套 兼容的外围设备和主机器件, 由此形成其微型计算机的主流机地位 采用不同主功能芯片, 配以所需的外围设备, 在功能 性能上不断增强, 由此形成了微型计算机的 PC 系列 即 :PC486 PC Pentium PC PentiumⅡ PC Pentium Ⅲ 和 PC Pentium 4 等 本书主要以主流的 IBM-PC 系列微型计算机为例, 介绍微型计算机原理与接口技术 1.1 微型计算机系统组成概述 微型计算机系统由软件系统和硬件系统两大部分组成 硬件硬件是微型计算机的物质基础, 它包括主机和外围设备 其具体组成遵循冯 诺依曼提出的电子计算机经典硬件组成原则, 即一台电子计算机的硬件系统需由五大部件构成, 分别是 : 控制器 运算器 存储器 输入设备和输出设备等 微型计算机的硬件系统组成如图 1.1 所示 图 1.2 中, 运算器是用来实现算术 逻辑等各种运算操作的部件 ; 存储器是用来存放原始数据 中间数据以及计算程序的部件 ; 控制器是对整个运算过程进行有规律控制协调的部件 ; 输入设备是实现计算程序和原始数据输入的设备 ; 输出设备是实现计算结果输出的设备 ; 外存储设备是用来扩大微型计算机存储信息能力的设备 中央处理器 (CPU 或 MPU): 运算器 控制器

13 2 微型计算机原理与接口技术 主机 内部存储器 : 包括 RAM,ROM, 缓冲存储器等 硬件 其他功能部件 : 包括主板 各类接口适配卡 接口电路等 外存储设备 : 包括软盘 硬盘 移动硬盘 闪存 光盘驱动器等 外围设备 输入设备 : 包括键盘 鼠标 扫描仪 数码相机等 输出设备 : 包括显示器 打印机 音箱 绘图仪等 图 1.1 微型计算机的硬件组成 这些硬件组成了如图 1.2 所示的逻辑结构 输入设备 外 存储器 运算器 计算程序 围 计算结果 输出设备 外存储设备 设 备 控制器 图 1.2 微型计算机的硬件逻辑结构 1. 主机主机是硬件系统的核心, 它负责对输入的各类数据和信号进行综合处理, 并发出各类控制命令, 指挥整个微型计算机硬件系统的工作 主机由多个部件组成, 封闭安装于机箱内, 图 1.3 所示为一台微型计算机的主机内部图 图 1.3 微型计算机的主机内部主机内部主要有以下部件 (1) 主板主板又称主机板或系统板, 它是安装于主机箱内的一块多层印刷电路板 主板上有微处理器插座 只读存储器 (ROM) 随机存储器(RAM) 和 RAM 插座 一组专用控制芯片 高速缓存 (Cache) 输入/ 输出扩展槽 各种接口等 主板是微型计算机硬件系统中最重要的部件, 控制着微型计算机的运行 图 1.4 所示为一块目前流行的主板结构

14 第 1 章绪论 3 示意图 图 1.4 主板结构示意图 (2) 微处理器主机的核心是微处理器, 其内部包含运算器和控制器电路, 微处理器性能的好坏, 直接影响着整台微型计算机的性能 微处理器的性能的优劣主要从位长和内部时钟频率两方面进行衡量 位长确定 CPU 的数据处理能力, 内部时钟频率确定数据的处理速度 由于 IBM 公司在其系列微型计算机上使用了 Intel 公司生产的微处理器, 以至各个微处理器生产厂商纷纷以 Intel 作为标准, 实际上形成了 Intel 公司在微型计算机微处理器产品上的主流地位 1971 年,Intel 公司成功地将算术运算器和逻辑控制器电路集成在一起, 推出了世界上第一块时钟频率为 1MHz 的微处理器 Intel 4004;1978 年,Intel 公司推出了首个 16 位的微处理器 Intel 8086;1979 年,Intel 公司推出了仍为 16 位的微处理器 Intel 8088, 时钟频率为 4.77MHz;1981 年,8088 被 IBM 公司选中用作其推出的 IBM-PC 微型计算机的微处理器, 从此以后,Intel 公司又分别在 1982 年推出了 16 位, 时钟频率可达 20MHz 的 Intel 80286; 在 1985 年推出了 32 位, 时钟频率可达 33MHz 的 Intel 80386; 在 1989 年推出了 32 位, 时钟频率可达 120MHz 的 Intel 80486;1993 年,Intel 公司推出了 32 位的微处理器 Intel 为了摆脱其微处理器产品以数字序列命名而在商标品名保护中所处的不利地位,Intel 将 正式命名为 Pentium( 奔腾 );1995 年,Intel 公司又推出了新一代的 32 位微处理器 Pentium Pro(P6);1997 年,Intel 公司在 Intel Pentium Pro 的基础上增加了 57 条多媒体指令, 推出了 Intel Pentium MMX(P55C) 微处理器, 同年, 为弥补 P6 的某些缺陷,Intel 公司在 Intel Pentium Pro 的基础上开发了两个增强型版本, Klamath( 即 PentiumⅡ, 也称奔腾二代 ) 和 Deschutes 其中 PentiumⅡ 采用了 MMX 和 AGP 技术, 其系统总线速度达到 66MHz, 一级 Cache 含有 16KB 指令 Cache 和 16KB 数据 Cache, 二级 Cache 达 512KB 而 Deschutes 采用更先进的 0.25μm 技术, 具有更低的工作电压, 适合于笔记本式微型计算机使用 1999 年,Intel 公司在 Pentium Ⅱ 的基础上推出名为 Katmai 的 64 位的 Pentium Ⅲ 微处理器 Pentium Ⅲ 在 MMX 指令的基础上又增加了 70 条被称为 SSE 技术的多媒体指令, 其功能得以进一步加强 1999 年秋,Intel 推出了代号为 Willamette 的全新一代 64 位微处理器 Pentium 4( 奔腾四代 ) Pentium 4 采用了全新的 NetBurst 结构,NetBurst 结构包含以下一些特点 :

15 4 微型计算机原理与接口技术 采用了更快的系统总线 (Faster System Bus); 具有高级传输缓存 (Advanced Transfer Cache) 功能 ; 具有高级动态执行 (Advanced Dynamic Execution) 功能 ; 具有超长管道处理技术 (Hyper Pipelined Technology); 具有快速执行引擎 (Rapid Execution Engine); 具有高级浮点以及多媒体指令集 (SSE2) 等 自推出 Willamette 以后,Pentium 4 系列微处理器在性能上有了很大的提高, 其时钟频率目前的产品已达 4GHz 以上 Pentium 4 微处理器如图 1.5 所示 图 1.5 Pentium 4 微处理器 (3) 内部存储器微型计算机必须具有足够的内部存储器 ( 简称内存 ) 才能正常工作 在系统工作过程中, 微处理器从内存中读取由输入设备输入的控制指令和数据 同时, 微处理器将处理的结果写入内存中暂时保存, 供输出设备输出给用户 内存的物理实质是一组或多组具备数据输入 / 输出和数据存储功能的集成电路 微型计算机中, 按工作原理不同可以将内部存储器分为以下几类 1 ROM(Read Only Memory): 只读存储器 在 ROM 中, 信息是被用专用设备永久性地蚀刻在 ROM 内部电路中的, 并且在完成蚀刻工作后不能再将其中的内容改变 因此, 微型计算机 ROM 内存的信息对普通用户而言, 只能被读出, 而不能被修改和删除, 故称之为只读存储器 由于 ROM 的只读性, 使其不具有一般用户对存储器既能写也能读的要求, 因此, 普通用户一般不使用 但由于 ROM 所具有的在断电后能固化信息, 不丢失信息的优点, 使其在微型计算机中一般用于持久地存储不轻易改变内容的系统信息, 如主板上的 BIOS 程序等 2 EPROM(Erasable Programmable ROM): 可擦除可编程存储器 EPROM 是一种特殊的 ROM, 与 ROM 不同之处在于它可以通过特殊的装置擦除和重写其中存储的内容 一般地, 在 EPROM 芯片上有一个用于透射的小窗, 当数据用特殊设备写入 EPROM 后, 要用不透光的不干胶纸封住窗口 当需将 EPROM 芯片中的内容删除时, 只要打开窗口让紫外线光充分照射 10 余分钟即可 3 EEPROM(Electrically Erasable Programmable ROM) 电可擦除可编程存储器 EEPROM 无需紫外线, 直接在主板上使用电信号即可完成对芯片中内容的删除和

16 第 1 章绪论 5 修改工作 目前 EEPROM 已在主板上被广泛地用于存储 BIOS 信息, 以便用户对主板 BIOS 的升级 4 RAM(Random Access Memory): 随机存储器 RAM 存储器在电路上与微处理器几乎直接相连, 因此读写速度快, 另外它价格便宜, 通过简单电路即可实现信息的写与读, 所以它是目前一般微型计算机中普遍采用的用户用内存, 也称主内存或主存储器 RAM 多为 MOS 型半导体电路, 它分为静态和动态两种 静态 RAM 靠双稳态触发器来记忆信息 ; 动态 RAM 依靠 MOS 电路中的栅极电容记忆信息, 由于电容上的电荷会泄漏, 因此, 需要定时给与补充, 所以动态 RAM 需要设置专门的刷新电路 但动态 RAM 比静态 RAM 集成度高 功耗低, 价格更便宜, 适合作为大容量存储器, 所以主内存通常采用动态 RAM, 而高速缓存等则使用静态 RAM 动态 RAM 按制造工艺的不同, 又可分为动态随机存储器 DRAM(Dynamic RAM) 扩展数据输出随机存储器 EDO(Extended Data Out RAM) 同步动态存储器 SDRAM (Synchronized Dynamic RAM) 和 DDR(Double Data Rate SDRAM) 存储器等 DRAM DRAM 工作时需要工作电流以保存信息, 如果断电, 存储在其中的信息将丢失 它的刷新频率每秒可达几百次, 但由于 DRAM 使用同一电路来存取数据, 所以 DRAM 的存取时间有一定的间隔, 这导致它的读写速度较慢 另外, 在 DRAM 中, 由于存储地址空间是按页排列的, 所以当访问某一页时, 切换到另一页面会占用微处理器额外的时钟周期 DRAM 与主板电路的接口多为 72 线的 SIMM 型 EDO EDO RAM 与 DRAM 相似, 它取消了扩展数据输出内存与传输内存两个存储周期之间的时间间隔, 在把数据发送给微处理器的同时, 访问下一个页面, 故速度比 DRAM 快 15% 到 30% SDRAM SDRAM 与 DRAM 有很大区别, 它使用同一个微处理器时钟周期即可完成数据的访问与刷新, 即以同一周期 相同的速度进行同步的工作, 可大大提高数据传输率, 其速率比 DRAM 和 EDO RAM 都提高了很多, 最大可达到 120MHz, 是当前微型计算机中流行的标准主内存配置 DDR DDR 也称 SDRAMⅡ, 是一种 SDRAM 的更新产品 虽然 DDR 的核心建立在 SDRAM 基础上, 但一方面,DDR 的构造使得它不需要提高时钟频率就能得到较高的读 / 写速度 ; 另一方面,DDR 允许在时钟脉冲的上升沿和下降沿读出数据 因此,DDR 产品一般具有比 SDRAM 更高的读 / 写速度 DDR 内存是当前微型计算机中流行的标准主内存配置,KingMax PC DDR400 内存条如图 1.6 所示

17 6 微型计算机原理与接口技术 图 1.6 KingMax PC DDR400 内存条 (4) 各种设备接口设备接口用于主机与外围设备之间的物理连接 主机内部与主机箱上提供了许多接口, 主要有 : 串行 并行 键盘 鼠标 USB 等 串行接口以一位接一位的方式实现数据传送, 其特点是传送速度慢, 传送距离长 串行接口可以连接相应的串行设备, 如 9 针鼠标器 外置调制解调器等 主板上的串行接口为两个 10 阵双排针式插座, 标为 COM1 和 COM2, 一个插座可插一个外部设备 COM1 和 COM2 设有标准的 I/O 地址和 IRQ(Interrupt Request), 当系统默认值和新安装设备冲突时, 可以在 CMOS 中重新设置 并行接口以 8 位数据同时通过的形式实现数据传送, 其特点是传送速度快 目前并行接口主要使用 25 针 D 形接头连接打印机 主板上集成的并行插座标为 LPT1, 它是一个 26 针的双排针插座 键盘接口分为两种 : 一种是 AT 型主板使用的 5 针接口, 另一种是 ATX 型主板使用的 PS/2 型 6 针接口 鼠标接口一般使用主板上的 COM 口, 如果 COM 口被其他设备占用, 只能通过 PS/2 插座连接 PS/2 型鼠标, 这时必须对 CMOS 信息进行相应的改设 图 1.7 所示为微型计算机主板上的各种设备接口 2. 输入设备输入设备是将各种数据和控制信息送入微型计算机内存供微处理器处理的设备 微型计算机的输入设备种类繁多, 常用的有键盘和鼠标等 (1) 键盘到目前为止, 键盘是微型计算机上最重要的输入设备, 通过键盘可以输入数据和控制命令, 实现人与计算机之间的交互式对话 按键数的不同, 键盘有 83 键 101 键标准键盘和 101 键以上的各种专用键盘 ( 如 Windows 系统专用键盘 ) 之分 自 Windows 95/98/2000 出现以后, 目前普遍使用的是 104 键通用扩展键盘 图 1.8 为一块按人体工学要求设计的键盘 图 1.7 主板上的设备接口 图 1.8 键盘

18 第 1 章绪论 7 (2) 鼠标鼠标又称为鼠标器或滑鼠, 是一种比键盘更小的输入设备 它的外形一般为一鼠形小盒, 通过一根多芯电缆与主机串行接口相连, 英文称之为 Mouse( 鼠 ) 鼠标是目前微型计算机常用的图形方式输入设备, 其与键盘各有不同的适用场合 鼠标的外观如图 1.9 所示 3. 输出设备微型计算机的输出设备用于将计算机处理的结果以各种不同形式输出 输出设备与输入设备一样品种繁多, 目前使用较多的有彩色显示器和音箱等 (1) 彩色显示器彩色显示器是将微型计算机中的数字电信号转换成视觉信号的一种装置 图 1.10 所示为一台彩色显示器 图 1.9 鼠标 图 1.10 彩色显示器 (2) 音箱音箱是目前微型计算机上常配的一种多媒体输出设备 通过它能将经微型计算机处理的信号以音频形式输出 图 1.11 为一套微型计算机音箱 图 1.11 音箱 4. 外存储设备微型计算机的外存储设备用于永久保存各类数据与信息 常用的外存储设备主要分为磁存储设备和光存储设备两大类 磁存储设备利用磁介质保存数据, 光存储设备利用各种光效保存数据 目前, 微型计算机上常用的磁存储设备主要有软磁盘存储系统 硬磁盘存储系统 光存储系统和各种移动存储设备等四种, 软磁盘存储系统包括软磁盘驱动器和软磁盘, 硬磁盘存储系统指硬盘驱动器 微型计算机上常用的光存储设备主要有 :CD-ROM( 只读光盘驱动器与只读光盘 ) CD-R( 可写光盘驱动器与可写光盘 ) CD-WR( 可擦写光

19 8 微型计算机原理与接口技术 盘驱动器与可擦写光盘 ) 以及 DVD-ROM(DVD 只读光盘驱动器与 DVD 光盘 ) 等 光盘存储设备由于其大容量, 高可靠性等优点, 已逐渐成为微型计算机上外存储器的主流配置 (1) 软磁盘存储系统软磁盘存储系统主要是指软盘驱动器与软磁盘组成的存储系统 软盘驱动器也称软驱, 它通过主机的控制对软磁盘片进行读出或写入操作 图 1.12 为 3.5 英寸软盘驱动器 图 英寸软盘驱动器 (2) 硬磁盘存储系统硬磁盘存储系统主要是指硬盘驱动器 硬盘驱动器与软盘驱动器一样, 也是微型计算机重要的外存储设备 并且由于其存储容量大 读写速度快, 目前已成为微型计算机的主要外存储设备 图 1.13 为 Maxtor( 迈拓 ) 金钻六代 5T040H 硬盘驱动器 (3) 光盘驱动器光盘驱动器 (CD-ROM) 是目前多媒体微型计算机上必配的外存储设备, 它具有存储容量大 信息保存可靠 数据读取速度比磁设备快等优点 图 1.14 为一台光盘驱动器 图 1.13 硬盘驱动器 图 1.14 光盘驱动器 软件软件 (Software) 是指运行 维护 管理和应用计算机所需要的各种程序及其相关的文档资料 软件可分为系统软件和应用软件两大类 1. 系统软件系统软件是由计算机厂家的专业人员编写的, 为支持计算机硬件正常工作, 来管理和维护计算机硬件资源的程序 系统软件可分为以下几类

20 第 1 章绪论 9 (1)BIOS 系统软件 BIOS(Base Input/Output System, 基本输入 / 输出系统 ) 软件是微型计算机最核心的软件, 它一般固化在微型计算机系统主板上的 ROM 电路中, 在计算机开机后调入内存, 起到对硬件系统的控制管理作用 BIOS 系统实际上是微型计算机的一个软接口, 它的应用在微型计算机接口技术中具有很重要的地位和作用, 本书的第 13 章中会详细介绍有关知识, (2) 操作系统软件操作系统 (Operating System,OS) 是运行在 BIOS 软件基础上的系统软件, 其他的用户软件必须在操作系统的支持下才能运行 操作系统的作用是管理计算机系统的全部硬件 软件资源, 目的是提高系统资源的利用率, 为其他软件的开发与使用提供必要的支持, 使计算机系统资源能最大限度地发挥作用, 为用户提供方便 高效 友好的服务界面 操作系统是系统软件的核心, 其性能在很大程度上决定了计算机系统工作的优劣 操作系统根据所对应设备或管理要求的不同分为许多种, 在结构和内容上存在着很大的差别 DOS( 磁盘操作系统 ) 是字符界面的单用户单任务微机操作系统 它对计算机硬件性能要求较低, 是 20 世纪 80 年代和 90 年代初期微型计算机的主流操作系统 其命令繁多且参数复杂, 不易掌握 Windows 95/98/2000 是单用户多任务的 32 位微机操作系统 它采用图形界面, 大量使用鼠标操作, 从而在很大程度上简化了用户的操作 NetWare 是 Novell 局域网的网络操作系统 它采用集中式管理, 运行效率高, 网络共享数据的完整性易于控制, 易于实现以较低档的微机作为工作站入网并共享网上的昂贵资源 Windows NT 是目前局域网中常用的网络操作系统 它采用分布式管理, 使 NT 网络内的各个计算机既是客户端, 又同时是服务器 从而可以降低对专用服务器性能的要求, 但要求每一客户端具有良好的性能 Linux 是赫尔辛基大学学生 Linux Torvalds 发布的一种可以自由拷贝 使用和修改的源代码完全开放的操作系统 它能运行在目前几乎所有的硬件平台之上 (3) 程序设计语言和语言处理程序计算机是在程序控制下工作的 而程序是用程序设计语言根据需要解决的问题事先编写的 程序设计语言可分为机器语言 汇编语言和高级语言三大类 (4) 服务性程序服务性程序是指为了帮助用户使用与维护计算机, 提供服务性手段而编制的一类程序, 它包括如下几方面 编辑程序 编辑程序是经常使用的一种服务程序, 它为用户提供方便的编辑环境 用户通过简单的命令即可建立 修改和生成程序文件 数据文件 如 DOS 操作系统中的 EDIT 程序等 连接装配程序 一般大型软件都是由多人各自开发出多个功能模块, 通过编译程序翻译成各个目标程序后, 再通过装配程序连接在一起, 生成一个总的可执

21 10 微型计算机原理与接口技术 行文件 测试 诊断程序 测试程序能检查出程序中的某些错误, 诊断程序能自动检测计算机硬件故障并进行故障定位 2. 应用软件应用软件是在计算机硬件和系统软件的支持下, 为解决各类实际问题而设计的软件, 常用的应用软件如下所示 文字处理软件 电子表格软件 各种计算机辅助工程软件 1.2 微型计算机接口概述 微型计算机接口是微处理器与外围设备进行信息交换的匹配介质, 它一般通过硬件上的电路连接和软件上的程序控制实现 通过接口, 微处理器才能从外界接收需处理的各种数据 也只有通过接口, 经微处理器处理后的数据 ( 即信息 ), 才能进行各种形式上的输出 因此, 在微型计算机应用迅速普及的今天, 对掌握微型计算机接口技术的需求就更加突出了 接口的功能微型计算机的接口一般具有以下功能 (1) 执行微处理器命令微处理器对被控对象外围设备的控制命令, 首先以命令代码的形式发送到接口中的命令寄存器 ( 也称 命令口 ) 中 再由接口电路对命令代码进行识别和分析, 并分解成若干个控制信号传送到 I/O 设备, 使其产生相应的操作 由此可见, 接口微处理器对外围设备的控制是通过接口实现的 (2) 返回外围设备状态接口电路中一般都设有状态寄存器 ( 也称为 状态口 ) 接口在执行微处理器命令前 中 后, 都需检测外围设备的状态, 包括是否正常工作 是 忙 还是 闲 设备是否准备就绪 接收或发送寄存器 满 还是 空, 以及 溢出错 格式错 校验错 等状态情况, 这些状态信号以状态代码的形式存放在状态寄存器中, 供微处理器调用做出判断与处理 (3) 实现信号转换首先由于外围设备所需的控制信号和它所提供的状态信号往往同微型计算机总线信号在功能定义 逻辑关系 电平高低以及工作时序上不兼容 ; 其次, 微处理器处理的是并行数据 (8 位 16 位 32 位或 64 位 ), 而一些外部设备只能处理串行数据 ; 第三, 微处理器与有些外部设备交换数据时, 对数据的传送格式有一定的要求 因此, 必须依靠接口进行信号转换 (4) 实现数据缓冲

22 第 1 章绪论 11 为了解决微型计算机主机高速与外部设备低速之间的矛盾, 避免因速度不一致而丢失数据, 接口中一般都设置数据缓冲寄存器 ( 简称数据缓存器, 也称 数据口 ) 数据缓存器又分为输入缓存器和输出缓存器两种, 前者暂时存放外部设备送来的数据, 以等待微处理器将它取走 ; 后者暂时存放微处理器送往外部设备的数据 由于数据缓存器直接连在系统数据总线上, 因此它应具有三态特性 (5) 实现设备选择在微型计算机系统中, 一般都有多种外部设备, 同一种外部设备也可能有多台 而微处理器在同一时间里只能与一台外部设备交换信息, 因此就需要在接口中设置 I/O 端口地址译码电路对外部设备进行寻址 微处理器将 I/O 设备的端口地址代码送到接口中的地址译码电路, 并经译码电路把地址码翻译成 I/O 设备的选择信号 一般是把高位地址用于接口芯片选择, 低位地址进行芯片内部寄存器的选择, 以选定需要与自己交换信息的设备端口, 只有被选中的设备才能与微处理器进行数据交换或通信 没有选中的设备, 就不能与微处理器交换数据 接口的分类微型计算机的接口一般可按其功能和应用的不同进行分类 1. 按功能分类微型计算机接口按功能的不同可分为 : 内存储器接口 I/O 接口 键盘接口 鼠标接口 显示接口 外存储器接口和模拟接口等 这些接口的具体构成与应用, 在以后的章节中会详细介绍 2. 按应用分类微型计算机接口按应用的不同可分为 : 运行辅助接口 用户交互接口和传感控制接口 (1) 运行辅助接口运行辅助接口是微型计算机实现最基本功能所需的接口 它包括微处理器周围地址总线和数据总线的锁存器 驱动器 接收器 收发器以及时钟等电路 这些电路将微处理器连至系统总线, 增加总线驱动能力, 减少总线负载, 执行总线滤波以及阻抗匹配等功能 内存储器和外存储系统所需的接口部件也属于此类接口,RAM 和 ROM 接口同样具有地址锁存 数据驱动以及片选驱动等电路 外存储设备一般有自己的控制器, 这些设备与系统总线连接时, 只需构成并行或串行通信接口来发送命令和数据, 同时接收状态和数据 目前大量的外存储设备通过增强 IDE 接口或小型计算机系统接口 SCSI 与系统主机连接 (2) 用户交互接口用户交互接口是指把用户指定的数据发送给主机系统或从主机系统接收数据的接口电路 它包括计算机终端接口 键盘接口 鼠标接口 显示器接口 打印机接口 操纵杆接口 光笔接口 录入笔接口 语音识别和合成接口等

23 12 微型计算机原理与接口技术 人与计算机在工作中使用完全不同的语言, 因此, 用户在操纵计算机时要用接口来进行彼此之间的转换, 这种转换包含表示方法和表现速度两个方面 一般而言, 表示方法的转换由外部设备进行, 而表现速度的转换由主机承担 计算机内部的数据表示就是一连串的二进制符号, 从外部设备输入的数据要转换成某种编码并使用计算机的表示方法 ; 计算机输出某种编码的数据也要转换成外部设备的数据表示形式 主机及相应接口使用硬件中断或软件轮询的方法使得计算机与外部设备的表现速度同步 人所操作的输入设备速度应该较慢, 计算机操作的输出设备应该快速, 所以一般使用串行接口驱动与人交互的外部设备, 使用并行接口驱动高速输出外部设备 使用串行与并行接口适配器时, 要遵循相关的串行接口标准和并行接口标准, 也需要用驱动器和接收器作为与微型计算机系统总线连接的缓冲器, 进行串并行数据格式的转换和传输速率的匹配 (3) 传感控制接口在进行科学计算 数据处理 事务管理时, 微型计算机系统配置若干运行辅助接口和用户交互接口就可以执行任务 但在微型计算机应用于控制系统时就不同了, 首先, 在微型计算机控制系统中必须对控制对象进行监测, 并将结果报告给主机, 这就需要传感接口 ; 其次, 要根据监测到的状态决定采取怎样的动作, 并通过控制接口执行这个动作 传感接口具有两种功能组件, 一个是模拟量到数字量的转换器, 另一个是数字量到微型计算机总线的接口 模拟 / 数字 (A/D) 转换器把传感器检测到的模拟量转换成数字量, 同时进行数据格式的变换以便长距离传送 传感接口输出的数字量经过缓冲寄存器 总线接收器 总线驱动器等, 由系统总线接口传送给主机处理 微型计算机控制系统通过传感接口接收检测对象 控制对象的状态和数据, 在进行处理后通过控制接口执行 控制接口将微型计算机运算处理后得到的数字信号转换成适当的电压或电流等模拟信号, 直接执行或通过机电接口驱动执行机构动作, 以实现具体的控制 因此, 实现数字 / 模拟 (D/A) 转换的 D/A 转换器是控制接口的重要组成部分 接口的组成接口一般是由硬件和软件组成的, 硬件是接口的物理基础, 软件是接口硬件的驱动程序, 对硬件起支撑作用 由于计算机技术的高速发展, 在微型计算机中已开始出现纯软件的接口技术 ( 如 BIOS 和 DOS 软件功能的调用 ), 并已在微型计算机中大量普及使用 1. 硬件从应用功能的不同, 接口的硬件有以下几种 (1) 基本逻辑电路接口的基本逻辑电路包括命令寄存器 状态寄存器和数据缓冲寄存器等 它们担负着接收执行命令 返回状态和传送数据的基本任务, 是接口硬件的核心 目前, 可编程大规模集成电路接口芯片中都包含有这些基本电路 (2) 端口地址译码电路接口的端口地址译码电路主要由译码器或其他能实现译码功能的芯片, 如 GAL

24 第 1 章绪论 13 (PAL) 器件 IC 逻辑芯片构成 它的作用是进行设备选择, 是接口中不可缺少的部分 (3) 专用功能电路专用功能电路是指用户根据接口的不同任务和功能而专门添加的功能模块电路 用户设计接口功能时, 如果涉及到数据的传输方式, 就要考虑添加中断控制或 DMA 控制器电路 ; 当涉及到速度控制 发声控制时, 就要考虑添加定时器 / 计数器电路 ; 当涉及到数据宽度转换时, 就要考虑添加移位寄存器等 2. 软件接口软件一般包含以下程序段 (1) 初始化程序段对接口功能电路的控制程序都需要通过方式命令或初始化命令设置其工作方式与初始条件, 这部分程序也是接口软件的基础部分 (2) 传输方式处理程序段数据传送是接口的基本功能之一, 微型计算机的数据传送有查询 中断 DMA 等多种不同方式, 这就需要有不同的传送方式处理程序 在查询方式中有检测外部设备或接口状态的程序段 ; 在中断方式中有中断向量修改 对中断源的屏蔽 / 开放以及中断结束等的处理程序 ; 在 DMA 方式中有相关的 DMA 传送操作, 如通道开放 / 屏蔽等处理的程序段等 (3) 主控程序段主控程序是完成接口具体任务的程序 例如, 数据的采集程序, 包括发送转换启动信号 查询转换结束信号 读数据以及存数据等内容 ; 又如, 步进电机控制程序, 包括运行方式 运行方向 运行速度以及启 / 停控制等 (4) 程序终止与退出程序段程序终止与退出程序段包括程序结束退出前对接口电路中硬件的保护程序 如对一些芯片的引脚设置为高或低电平, 或将其设置为输入 / 输出状态等 (5) 辅助程序段该程序包括人机对话 菜单设计等内容 微处理器与接口交换数据的方式微型计算机与外围设备之间的数据传送实际上是微处理器与接口之间的数据传送, 传送的方式不同, 微处理器对外部设备的控制方式也不同, 从而使接口电路的结构及功能也不同 在微型计算机中, 传送数据一般有 3 种不同的方式, 分别是查询方式 中断方式和 DMA 方式

25 14 微型计算机原理与接口技术 1. 查询方式查询方式是微处理器在传送数据 ( 包括读入和写出 ) 之前, 主动去检查外部设备是否 准备好, 若没有准备好, 则继续检查其状态, 直到外部设备准备好, 即确认外围设备已具备传送条件之后, 才进行数据传送 显然, 在这种方式下, 微处理器每传送一个数据, 都需花费很多时间来等待外部设备进行数据传送的准备, 因此, 微处理器的工作效率很低, 且微处理器与外部设备不能同时工作, 各种外部设备也不能同时工作 但这种方式无需增加额外的硬件电路, 易于实现 因此, 一般应用于微处理器不太忙且传送速度要求不太高的情况下 2. 中断方式采用中断方式传送数据时, 无需反复测试外围设备的状态 在外围设备没有做好数据传送准备时, 微处理器可以做其他的工作 当外部设备做好传送准备后, 会主动向微处理器请求中断, 微处理器响应这一请求, 暂停正在运行的其他程序, 转入用来进行数据传送的中断服务子程序, 在完成中断报务后, 自动返回原来运行的程序 这样的方式, 虽然外部设备工作速度比较低, 但微处理器在外调以工作时, 仍可运行其他程序, 使外部设备与微处理器并行工作, 提高了微处理器的工作效率, 但为实现中断传送, 要求在微处理器与外部设备之间设置中断控制器电路, 增加了硬件上的成本 中断方式一般用于微处理器工作任务较忙的场合 3.DMA 方式虽然中断传送方式可以在一定程度上实现微处理器与外部设备的并行工作, 但在外部设备与内存之间进行数据传送时, 还是要经过微处理器的中转, 并且每次中断只能传送一个数据, 还要做程序的转移 现场的保护和现场的恢复工作 这在高速外部设备在进行大批量数据传送时, 会造成中断次数过于频繁, 不仅传送速度上不去, 而且耗费大量微处理器资源 为此可采用 DMA( 直接存储器存取 ) 方式, 使数据的传送不经过微处理器, 由 DMA 控制器来实现内存与外部设备, 或外部设备与外部设备之间的直接快速传送 DMA 方式实际上是把输入 / 输出过程中外部设备与内存交换数据的那部分操作与控制交给了 DMA 控制器, 简化了微处理器对输入 / 输出的控制 在查询和中断方式下, 数据传送过程中的一些操作, 如存数和取数 地址刷新和计数以及检测传送是否结束等, 是由软件控制相应的指令实现的 在 DMA 方式下, 这些操作都由硬件 DMA 控制器实现, 因此传送速率很高 但 DMA 方式要求在硬件中增加 DMA 控制器, 其电路结构复杂 硬件成本较高 习 题 1. 微型计算机的硬件主要有哪些? 这些硬件各自的主要功能是什么? 2. 微型计算机的内部存储器有哪几种?

26 第 1 章绪论 试述微型计算机主机上的各种设备接口的功能与作用 4. 试述软件的分类 5. 什么是微型计算机接口? 6. 试述接口的主要功能 7. 试述接口的分类 8. 试述接口的组成 9. 接口电路的驱动控制程序一般包含哪几部分? 10. 微处理器与外部设围进行数据交换有哪几种方式? 各有何特点?

27 第 2 章微处理器 微处理器 (Microprocessor) 是微型计算机的运算及控制部件, 也称中央处理器 (CPU) 微处理器直接影响微型计算机的性能 因此, 从某种意义上说, 微处理器的发展代表了微型计算机的发展 自 1971 年第一片微处理器 4004 问世以来, 随着集成电路技术的发展, 微处理器的发展经历了如下四代 第一代微处理器的典型产品是 4 位微处理器 ( 如 4004,4040) 和早期的 8 位微处理器 ( 如 8008) 1973 年 2 月,8080 的研制成功, 标志着第二代微处理器的开始 其他型号的典型产品是 Intel 公司的 8085 Motorola 公司的 M6800 和 Zilog 公司的 Z-80, 它们都是 8 位微处理器 第二代微处理器的另一类代表产品是位片 (Bit-Slice) 式微处理器, 典型产品是 Intel 公司的 3000(1974 年 ) AMD 公司的 2901 和 Motorola 公司的 M 年,Intel 公司生产了第三代微处理器的代表产品 8086 和 8088(1979 年 ),Zilog 公司和 Motorola 公司也相继推出了 Z-8000(1979 年 ) 和 M68000(1980 年 ), 它们都是准 16 位微处理器, 运算速度更快 这些公司在技术上互相竞争, 很快又推出了全 16 位的微处理器 80286(1983 年 ) M68020(1983 年 ) 和 Z-80000(1984 年 ) 1985 年,Intel 公司推出了 32 位字长的微处理器 80386, 标志了第四代微处理器的开始, 接着又研制成功 80486(1989 年 ) 和 Pentium( 奔腾,1993 年 ) 微处理器,Motorola 公司也在 1986 年后相继推出了性能相当于 和 的微处理器 M68030 和 M 年,Pentium Pro( 中文名为高能奔腾 ) 问世, 接着又推出了含有 MMX ( 多媒体扩展指令集 ) 功能的 Pentium 微处理器 P55C 和 Pentium Pro 微处理器 Klamnth, 俗称 Pentium Ⅱ 1999 年推出了含有更多多媒体指令的微处理器芯片 Pentium Ⅲ,2000 年推出更新的微处理器芯片 Pentium 4 下面以 8086/8088 微处理器为例, 介绍微处理器的基本结构和功能 2.1 微处理器的基本结构 8086 是全 16 位微处理器, 内 外数据总线都是 16 位 8088 是准 16 位微处理器, 内数据总线是 16 位, 外数据总线是 8 位 二者除外数据总线位数及与此相关的部分逻辑有差别外, 内部结构和基本性能相同, 指令系统完全相同 8086/8088 的结构特点如下所示 1 在结构上引入了两个重要概念 : 指令流水线和存储器分段, 并在 Intel 系列微处理器的升级换代中一直被沿用和发展 2 数据总线和 16 位 / 低 8 位地址总线采用分时复用方式

28 第 2 章微处理器 /8088 内部结构 8086/8088 内部结构由总线接口单元 BIU(Bus Interface Unit) 和执行单元 EU (Execution Unit) 两个独立的处理单元组成 8086 的内部结构如图 2.1 所示 1. 通用寄存器 2. 专用寄存器 AH AL BH BL CH CL DH DL SP BP DI SI 4. 指针寄存器 3. 段地址寄存器 CS DS SS ES IP 地址加法器 输入 / 输出控制电路 外部总线 内务暂存器 运算寄存器 ALU 5. 标志寄存器 执行部件 控制电路 指令队列缓冲器执行部件 (EU) 总线接口部件 (BIU) OF DF IF TF SF ZF AF PF CF 图 的内部结构图 1. 执行单元执行单元 (EU) 负责分析和执行指令, 将指令译码并利用内部的寄存器和 ALU 对数据进行处理 从图 2.1 可以看出, 执行单元由下列部分组成 1 四个通用寄存器,AX(AH,AL),BX(BH,BL),CX(CH,CL),DX(DH, DL), 既可以作为 16 位寄存器使用, 也可以作为 8 位寄存器使用 2 四个专用寄存器,BP 作为基数指针寄存器,SP 作为堆栈指针寄存器,SI 作为源变址寄存器,DI 作为目的变址寄存器 3 标志寄存器, 共有 16 位, 其中 7 位未用, 所用的各位的含义如下 状态标志有 6 个, 即 SF,ZF,PF,CF,AF 和 OF 符号标志 SF 与运算结果的最高位相同 ; 零标志 ZF, 若结果为零则为 1, 若结果非零则为 0; 奇 / 偶标志 PF, 若运算结果的低 8 位有 1 的个数为偶数, 则 PF 为 1, 否则为 0; 进位标志 CF, 若运算中有进位或有借位时, 则为 1, 否则为 0; 辅助进位标志 AF, 当加法运算时, 且第三位往第四位有进位或者当减法时, 如果第三位从第四位有借位, 则 AF 为 1, 另外, 辅助进位标志一般在 BCD 码运算中作为是否进行十进制调整的判断依据 ; 溢出标志 OF, 当运算过程中产生溢出时, 会使 OF 为 1 控制标志有 3 个, 即 DF,IF,TF 方向标志 DF 是控制串操作指令用的标志,

29 18 微型计算机原理与接口技术 如果 DF 为 0, 则串操作过程中地址会不断增加, 反之, 如果 DF 为 1, 则串操作过程中地址会不断减少 ; 中断标志 IF 是控制可屏蔽中断的标志, 如果 IF 为 0, 则微处理器不能对可屏蔽中断请求做出响应, 如果 IF 为 1, 则微处理器可以接受可屏蔽中断请求 跟踪标志 TF 如果为 1, 则微处理器按跟随方式执行指令 4 算术逻辑单元 (ALU) 2. 总线接口单元总线接口单元的功能是负责与存储器 I/O 端口传送数据 具体来讲, 总线接口单元要从内存指令队列 ; 微处理器执行指令时, 总线接口单元要配合执行单元从指定的内存单元或者外部设备端口中续取数据, 将数据传送给执行单元, 或者把执行单元的操作结果传送到指定的内存单元或外部设备端口中 总线接口部件由以下 4 部分组成 1 4 个段地址寄存器 CS:16 位的代码段寄存器 DS:16 位的数据段寄存器 ES:16 位的附加段寄存器 SS:16 位的堆栈段寄存器 2 16 位的指令指针寄存器 IP 3 20 位的地址加法器 4 6 字节的指令队列 8088 为 4 字节 该指令队列的存在, 使 EU 和 BIU 能并行工作, 取指令操作和分析 执行指令操作重叠进行, 从而形成了两级流水线结构 3. 存储器分段 8086/8088 可寻址的存储空间为 1MB 存储器采用分段管理, 把 1MB 的物理存储空间分成若干逻辑段, 每段大小为 64KB 段的起始单元地址叫段基址 通过 4 个段寄存器, 微处理器每次可同时对 4 个段进行寻址 各段之间可以连续 分离 部分重叠或完全重叠 这样, 一个具体存储单元的物理地址可以同时属于多个逻辑段 采用分段管理后, 存储器地址有物理地址和逻辑地址之分 物理地址是存储器中某一单元的绝对地址, 用 20 位地址码表示, 编码范围为 00000H~FFFFFH 逻辑地址是用户编程的地址, 由段基址和段内偏移地址 ( 段基址 : 偏移地址 ) 组成, 二者都是 16 位 微处理器访问存储器时, 需在 BIU 的地址加法器中进行逻辑地址到物理地址的变换, 变换关系为 : 物理地址 = 段基址 16+ 偏移地址

30 第 2 章微处理器 引脚信号与功能 8086/8088 微处理器有两种工作模式 : 最小模式和最大模式 最小模式就是在系统中只有 8086/8088 一个微处理器, 所有的总线控制信号都由 8086/8088 产生 最大模式就是在系统中有两个或多个微处理器, 其中一个主微处理器是 8086/8088, 其他的微处理器称为协微处理器 8086/8088 的引脚如图 2.2 所示 1. 各引脚信号的功能 (1)AD 15 ~AD 0 (Address Data Bus) 地址 / 数据复用引脚 ( 双向工作 ) 8088 中,A 8 ~A l5 并不作为复用, 它们只用来输出地址 作为复用引脚, 在总线周期的 T1 状态用来输出要访问的存储器或 I/O 端口地址 T 2 T 3 状态, 对读周期来说, 处于浮空状态 ; 对写周期来说, 则是传输数据 在 8086 系统中, 一般常将 AD 0 信号作为低 8 位数据的选通信号, 每当微处理器和偶地址单元或偶地址端口交换数据时, 在 T 1 状态 AD 0 引脚传送的地址信号必定为低电平 ; 在其他状态, 则用来传送数据 而微处理器的传输特性决定了只要是偶地址单元或偶地址端口交换数据, 那么, 微处理器必定通过总线低 8 位即 AD 7 ~AD 0 传输数据 可见, 如果在总线周期的 T 1 状态 AD 0 为低电平, 实际上就指示了在这一总线周期的其余状态中, 微处理器将用总线低 8 位和偶地址单元或偶地址端口交换数据 因此,AD 0 和下面讲到的 BHE 类似, 可以用来作为接于数据总线低 8 位上的 8 位外部设备接口芯片的选通信号 AD 15 ~AD 0 在微处理器响应中断, 以及系统总线 保持响应 时, 都被浮置为高阻状态 图 和 8088 微处理器引脚图 (2)A 19 /S 6 ~A 16 /S 3 (Address/Status) 地址 / 状态复用引脚 ( 输出 ) A 19 /S 6 ~A 16 /S 3 在总线周期的 T 1 状态, 用来输出地址的最高 4 位, 在总线周期的 T 2, T 3,T W 和 T 4 状态时, 用来输出状态信息 其中,S 6 为 0, 用来指示 8086/8088 当前与总

31 20 微型计算机原理与接口技术 线相连, 所以在 T 2,T 3,T W 和 T 4 状态时,8086/8088 总是使 S 6 等于 0, 以表示 8086/8088 当前连在总线上 ;S 5 表明中断允许标志的当前设置, 若为 1, 表示当前允许可屏蔽中断请求 ; 若为 0, 则禁止一切可屏蔽中断 S 4 S 3 合起来指出当前正在使用的段寄存器, 具体规定如表 2.1 所示 表 2.1 S4 和 S3 的组合功能 S 4 S 3 含义 0 0 当前正在使用 ES 0 1 当前正在使用 SS 1 0 当前正在使用 CS, 或者未用任何段寄存器 1 1 当前正在使用 DS 当系统总线处于 保持响应 状态时,A 19 /S 6 ~A 16 /S 3 被浮置为高阻状态 (3) BHE /S 7 (Bus High Enable/Status) 高 8 位数据总线允许 / 状态复用引脚 ( 输出 ) 在总线周期的 T 1 状态,8086 在 S 引脚输出 BHE 信号, 表示高 8 位数据线 D 7 15 ~D 8 上的数据有效 ; 在 T 2,T 3,T W 和 T 4 状态, BHE/S 7 引脚输出状态信号 S 7 BHE 信号和 A 0 控制连接在总线上的存储器和接口以何种格式传输数据, 具体规定见表 2.2 表 2.2 BHE 信号和 A 0 控制信号 BHE A 0 操作 所用的数据引脚 0 0 从偶地址单元开始读 / 写一个字 AD 15 ~AD 从奇地址单元或端口读 / 写一个字节 AD 15 ~AD 从偶地址单元或端口读 / 写一个字节 AD 7 ~AD 无效 从奇地址开始读 / 写一个字 ( 在第一个总线周期, 将低 8 位数字送到 AD 15 ~AD 8 在第二个总线周期, 将高 8 位数字送到 AD 7 ~AD 0 AD 15 ~AD 0 在 8086 系统中, 若要读 / 写从奇地址单元开始的一个字, 需要用两个总线周期 在 8088 系统中, 第 34 脚不是 BHE /S 7, 而是被赋予另外的信号 在最大模式时, 此引脚恒为高电平 ; 在最小模式中, 则为 SS, 它和 DT/R M/ IO 一起决定了 8088 芯片 0 当前总线周期的读 / 写动作 (4)NMI(Non-Maskable Interrupt) 非屏蔽中断引脚 ( 输入 ) 非屏蔽中断信号是一个由低到高的上升沿 这类中断不受中断允许标志 IF 的影响, 也不能用软件进行屏蔽 每当 NMI 端进入一个正沿触发信号时, 微处理器就会在结束当前指令后, 进入对应于中断类型号为 2 的非屏蔽中断处理程序 (5)INTR(Interrupt Request) 可屏蔽中断请求信号引脚 ( 输入 ) 该信号为高电平有效, 微处理器在执行每条指令的最后一个时钟周期会对 INTR 信号进行采样, 如果微处理器中的中断允许标志为 1, 并且又接收到 INTR 信号, 那么微处理器就会在结束当前指令后响应中断请求, 进入一个中断处理子程序

32 第 2 章微处理器 21 (6) RD (Read) 读信号引脚 ( 输出 ) 此信号指出将要执行一个对内存或 I/O 端口的读操作 到底是读取内存单元中的数据还是 I/O 端口中的数据, 这决定于 M/ IO 信号 在一个执行读操作的总线周期中,RD 信号在 T 2,T 3 和 T W 状态均为低电平 在系统总线进入 保持响应 期间, RD 引脚被浮置为高阻状态 (7)CLK(Clock) 时钟引脚 ( 输入 ) 8086/8088 要求时钟信号的占空比为 33%, 即 l/3 周期为高电平,2/3 周期为低电平 时钟信号为微处理器和总线控制逻辑电路提供定时手段 8086/8088 的时钟频率要求为 5MHz (8)RESET(Reset) 复位信号引脚 ( 输入 ) 复位信号为高电平有效 8086/8088 要求复位信号至少维持 4 个时钟周期的高电平才有效 复位信号采到后, 微处理器便结束当前操作, 并将标志寄存器 IP DS SS ES 及指令队列清零, 而将 CS 设置为 FFFFH (9)READY(Ready) 准备好 信号引脚 ( 输入 ) 准备好 信号实际上是由所访问的存储器或 I/O 设备发来的响应信号, 高电平有效 准备好 信号有效时, 表示内存或 I/O 设备准备就绪, 马上就可进行一次数据传输 微处理器在每个总线周期的 T 3 状态开始对 READY 信号进行采样 如果检测到 READY 为低电平, 则在 T 3 状态之后插入等待状态 T W 在 T W 状态, 微处理器也对 READY 进行采样, 若 READY 仍为低电平, 则会继续插 T W 所以,T W 可以插入一个或多个 直到 READY 变为高电平后, 才进入 T4 状态, 完成数据传送过程, 从而结束当前总线周期 (10) TEST (Test) 引脚 ( 输入 ) 测试信号为低电平有效 TEST 信号是和指令 WAIT 结合起来使用的, 在微处理器执行 WAIT 指令时, 微处理器处于空转状态进行等待 ; 当 8086 的 TEST 信号有效时, 等待状态结束, 微处理器继续往下执行被暂停的指令 (11)MN/ MX(Minimum/Maximum Mode Control) 最小 / 最大模式控制信号引脚 ( 输入 ) 如果接地, 则微处理器处于最大模式 如果接 +5V, 则微处理器处于最小模式 (12)GND 地和 VCC 电源引脚 8086/8088 均用单一的 +5V 电源 8086/8088 微处理器的第 24~3l 脚在最大模式和最小模式下有不同的名称和定义 2. 最小工作模式时 24~3l 脚的信号含义 (1) INTA (Interrupt Acknowledge) 中断响应信号 ( 输出 ) 在最小模式下, 第 24 脚作为中断响应信号的输出端, 用来对外部设备的中断请求做出响应 对于 8086/8088 来讲,INTA 信号实际上是位于连续周期中的两个负脉冲, 在每个总线周期的 T 2,T 3 和 T w 状态, INTA 端为低电平 第一个负脉冲通知外部设备的接口, 它发出的中断请求已经得到允许 ; 外部设备接口收到第二个负脉冲后, 往数据总线上放中断类型码, 从而微处理器便得到了有关此中断请求的详尽信息

33 22 微型计算机原理与接口技术 (2) ALE (Address Latch Enable) 地址锁存允许信号 ( 输出 ) 第 25 脚在最小模式下为地址锁存允许信号输出端, 这是 8086/8088 提供给地址锁存 器 8282/8283 的控制信号, 高电平有效 在任何一个总线周期的 T 1 状态,ALE 输出有 效电平, 表示当前在地址 / 数据复用总线上输出的是地址信息, 地址锁存器将 ALE 作为 锁存信号, 对地址进行锁存 (3) DEN (Data Enable) 数据允许信号 ( 输出 ) 第 26 脚在最小模式下作为数据允许信号输出端 在用 8286/8287 作为数据总线收发 器时,DEN 为收发器提供了一个控制信号, 表示微处理器当前准备发送或接受一个数 据 信号的电平输出情况如下 : 在每个存储器访问周期和 I/O 访问周期为低电平, 即有效电平 ; 在中断响应周期, 也为有效电平 不过, 如果是读周期或者是中断响应周期, DEN 在 T 2 状态的中间开始有效, 并且一直保持到 T 4 状态的中间 在 DMA 方式时,DEN 被浮置为高阻状态 (4)DT/ R (Data Transmit/Receive) 数据收发 ( 输出 ) 在使用 8286/8287 作为数据总线收发器时,DT/ R 信号用来控制 8286/8287 的数据传送方向 如果 DT/ R 为高电平, 则进行数据发送 ; 如果 DT/ R 为低电平, 则进行数据接收 在 DMA 方式时,DT/ R 被浮置为高阻状态 (5)M/ IO(Memory/Input and Output) 存储器输入 / 输出控制信号 ( 输出 ) 此信号若为高电平, 表示微处理器和存储器之间进行数据传输 ; 若为低电平, 表示微处理器和输入 / 输出设备之间进行数据传输 一般在前一个总线周期的 T 4 状态,M/ IO 就成为有效电平, 然后开始一个新的总线周期 在此周期中,M/ IO 一直保持有效电平, 直到本周期的 T 4 状态为止 在 DMA 方式时,M/ IO 被浮置为高阻状态 (6) WR (Write) 写信号 ( 输出 ) 此信号为低电平有效 WR 有效时, 表示微处理器当前正在进行存储器或 I/O 写操作, 具体到底为哪种写操作, 则由 M/ IO 信号决定 对任何写周期, WR 只在 T 2,T 3, T W 期间有效 在 DMA 方式时, 被浮置为高阻状态 (7)HOLD(Hold Request) 总线保持请求信号 ( 输入 ) 当系统中微处理器之外的另一个主模块要求占用总线时, 就在 T 4 状态从 HLDA 引脚发出一个回答信号, 对刚才的 HLDA 请求做出响应 同时, 微处理器使地址 / 数据总线和控制状态线处于浮空状态 总线请求部件收到 HLDA 信号后, 就获得了总线控制权, 在此后一段时间,HOLD 和 HLDA 都保持高电平 在总线占有部件用完总线之后, 会把 HOLD 信号变为低电平, 这样, 微处理器又获得了地址 / 数据总线和控制状态线的占有权 (8)HLDA(Hold Acknowledge) 总线保持响应信号 ( 输出 ) 此信号为高电平有效 当 HLDA 有效时, 表示微处理器对其他主部件的总线请求做出响应, 与此同时, 所有与三态门相接的微处理器的引脚呈现高阻抗, 从而让出了总线 在最小模式下,8088 和 8086 的第 34 脚的信号定义不同 对 8086 来说, 第 34 脚为 BHE /S 7, 由于 S 7 未被赋予实际意义, 所以, 此引脚就是用来提供高 8 位数据总线允许信号 对 8088 来说, 对外只有 8 位数据总线, 没有高 8 位数据总线, 因而也不需要 BHE

34 第 2 章微处理器 23 信号 所以, 第 34 脚不再是 BHE/S 7, 而叫 SS, 0 SS 0,M/ IO( 在 8088 中, 第 28 脚上不 是 M/ IO, 而是 M/ IO) 和 DT/ R 组合起来, 决定了当前总线周期的操作 具体对应关系 如表 2.3 所示 表 2.3 对应关系表 M/IO DT/R SS 0 操作 取指令 读内存 写内存 无源状态 发中断响应信号 读 I/O 端口 写 I/O 端口 暂停 以下给出最小模式下系统的典型配置图, 见图 2.3 图 最小模式的典型配置在 8086 的最小模式中, 硬件包括 : 一片 8284A, 作为时钟发生器 ; 三片 8282 或 74LS373, 用来作为地址锁存器 ; 当系统中所连的存储器和外部设备较多时, 需要增加数据总线的驱动能力, 这时, 要用两片 8286/8287 作为总线收发器 8282 是典型的 8 位锁存器芯片, 而 8086/8088 系统采用 20 位地址, 加上 BHE 信号, 共需要三片 8282 作为地址锁存器 74LS373 也可作为地址锁存器, 用法与 8282 相同

35 24 微型计算机原理与接口技术 3. 最大工作模式时 24~3l 脚的信号含义最大模式时 8086/8088 的 MN/ 引脚接地 此时,8086/8088 的第 24~3l 引脚的信号含义如下 (1)QS 1 和 QS 0 (Instruction Queue Status) 指令队列状态信号 ( 输出 ) 第 24 及 25 引脚作为 QS 1 及 QS 0 信号输出端, 这两个信号提供总线周期的前一个状态中指令队列的状态 QS 1 及 QS 0 的组合功能如表 2.4 所示 表 2.4 QS 1 及 QS 0 的组合功能 QS 1 QS 0 指令队列状态信号的含义 0 0 无操作 0 1 从指令队列的第一个字节中取走代码 1 0 队列为空 1 1 从指令队列的第一个字节及后续字节中取走代码 (2) S 2, S 1, S 0 (Bus Cycle Status) 总线周期状态信号 ( 输出 ) 它们提供当前总线周期中所进行的数据传输过程类型 由总线控制器 8288 根据这 些信号对存储器及 I/O 进行控制 其对应的操作如表 2.5 所示 表 2.5 S 2,S 1,S 0 总线周期状态信号 S 2 S S 发中断响应信号 读 I/O 端口 I/O 端口 暂停 取指令 读指令 写内存 无源状态 操作过程 总线周期状态 ( S 2, S 1, S 0 ) 中至少应有一个状态为低电平, 便可进行一种总线操作 当 S, 2 S 1, S 0 都为高电平时表明操作过程即将结束, 而另一个新的总线周期尚未开始, 这时称为 无源状态 而在总线周期的最后一个状态( 即 T 4 状态 ), S 2, S 1, S 中只要有一个信号改变, 就表明是下一个新的总线周期开始 0 (3) LOCK (Lock) 总线封锁信号 ( 输出 ) 在最大工作模式时, 第 29 引脚为总线封锁信号输出端 当 LOCK 为低电平时, 其他总线主控部件都不能占用总线 在 DMA 期间, LOCK 端被浮空而处于高阻状态 LOCK 信号由指令前缀 LOCK 产生, 在前缀后的一条指令执行完后, 便撤销 LOCK 信号 ; 在中断过程中, LOCK 信号也自动变为低电平 (4)RQ/GT 1 RQ / GT (Request/Grant) 总线请求信号 ( 输入 )/ 总线允许信号 ( 输 0 出 ) 在最大工作模式时, 第 30 及第 31 引脚分别为总线请求信号输入端 / 总线请求允许信号输出端, 可供微处理器以外两个协微处理器来发出使用总线请求和接收微处理器对总

36 第 2 章微处理器 25 线请求信号的回答信号 这两个应答信号都是双向的 RQ / GT 的优先级比 RQ/GT 0 1 的 高 最大模式下典型配置如图 2.4 所示 图 最大模式下的典型配置 时序与操作 8086/8088 微处理器中各单元之间以及与外部设备的数据交换, 都是通过总线来进行的 总线操作有两种情况, 即总线读操作及总线写操作 总线读操作指微处理器从存储器或外部设备端口读取数据, 总线写操作指微处理器把数据写入存储器或外部设备端口 1. 最小方式模式下的总线读操作最小工作模式总线读操作的时序如图 2.5(a) 所示 T 1 T 2 T 2 T w T 4 T 1 T 2 T 3 T w T 4 (a) 读操作时序 (b) 写操作时序 图 /8088 最小工作模式总线操作时序

37 26 微型计算机原理与接口技术 一个基本的读操作周期包含 4 个状态, 即 T 1,T 2,T 3,T 4 在存储器和外部设备速 度较慢时, 要在 T 3 之后插入一个或几个等待状态 T W (1)T 1 状态 为了从存储器或 I/O 端口读出数据, 首先要用 M/ IO 信号指出微处理器是要从内存 还是 I/O 端口读, 所以 M/ IO 信号在 T 1 状态成为有效 ( 见图 2.5(a)) 如果是从存储器 读数据, 则 M/ IO 为高 ; 如果是从 I/O 端口读数据, 则 M/ IO 为低 M/ IO 信号的有效电 平一直保持到整个总线周期的结束, 即 T 4 状态 此外, 微处理器要指出所读取的存储单元或 I/O 端口的地址 8086 的 20 位地址信 号是通过多路复用总线输出的, 高 4 位地址通过地址 / 状态线 A 19 /S 6 ~A 16 /S 3 送出, 低 16 位地址通过地址 / 数据线 AD 15 ~D 0 送出 在 T 1 状态的开始,20 位地址信息就通过这些 引脚送到存储器和 I/O 端口 ( 见图 2.5(a)2) 地址信息必须被锁存起来, 这样才能在总线周期的其他状态往这些引脚上传输数据 和状态信息 为了实现对地址的锁存, 微处理器便在 T 1 状态从 ALE 引脚上输出一个正 脉冲作为地址锁存信号 ( 见图 2.5(a)3) 在 ALE 的下降沿到来之前,M/ IO 信号 地 址信号均已有效 锁存器 8282 正是用 ALE 的下降沿对地址进行锁存 BHE 信号也在 T 1 状态通过 /S 7 引锁脚送出 ( 见图 2.5(a)4), 它用来表示高 8 位 数据总线上的信息可以使用 BHE 信号常常作为奇地址存储体的体选信号, 配合地址信 号来实现存储单元的寻址, 因为奇地址存储体中的信息总是通过高 8 位数据线来传输 除此以外, 当系统中接有数据总线收发器时, 要用到 DT/ R 和 DEN 作为控制信号 前者作为对数据传输方向的控制, 后者实现数据的选通 为此, 在 T1 状态,DT/ R 端输 出低电平, 表示本总线周期为读周期, 即让数据总线收发器接收数据 ( 见图 2.5(a)5) (2)T 2 状态 在 T 2 状态, 地址信号消失 ( 见图 2.5(a)7), 此时 AD l5 ~AD 0 进入高阻状态, 以 便为读入数据做准备 ; 而 A 19 /S 6 ~A 16 /S 3 及 BHE/S 7 引脚上输出状态信息 S 7 ~S 3 ( 见图 2.5 (a)6 8), 不过,S 7 未被赋予任何实际意义 DEN 信号在 T 2 状态变为低电平 ( 见图 2.5(a)10), 从而在系统中接有总线收发器时, 获得数据允许信号 在 T 2 状态, 微处理器于 RD 引脚上输出读信号, RD 信号送到系统中所有的存储器 和 I/O 接口, 但是, 只有被地址信号选中的存储单元或 I/O 端口, 才会被读信号从中读 出数据, 而将数据送到系统的数据总线上 (3)T 3 状态 在基本总线周期的 T 3 状态, 内存单元或者 I/O 端口将数据送到数据总线上, 微处理 器通过 AD 15 ~AD 0 接收数据 (4)T w 状态 当系统中所用的存储器或外部设备的工作速度较慢, 从而不能用最基本的总线周期 执行读操作时, 系统中就要用一个电路来产生 READY 信号,READY 信号通过时钟发 生器 8284A 传递给微处理器 微处理器在 T 3 状态的前沿 ( 下降沿处 ) 对 READY 信号进行采样 如果微处理器 没有在 T 3 状态的一开始采样到 READY 信号 ( 当然, 在这种情况下, 在 T 3 状态, 数据

38 第 2 章微处理器 27 总线上不会有数据 ) 为低电平, 那么, 就会在 T 3 和 T 4 之间插入等待状态 T w T w 可以为一个, 也可以为多个 以后, 微处理器在每个 T w 的前沿处对 READY 信号进行采样, 等到微处理器接收到高电平的 READY 信号后, 再把当前 T w 状态执行完, 便脱离 T w 而进入 T 4 在最后一个 T w 状态, 数据肯定已经出现在数据总线上 所以, 最后一个 T w 状态中总线的动作和基本总线周期中 T 3 状态的完全一样 而在其他的 T w 状态, 所有控制信号的电平和 T 3 状态的一样, 但数据信号尚未出现在数据总线上 (5)T 4 状态在 T 4 状态和前一个状态交界的下降沿处, 微处理器对数据总线进行采样, 从而获得数据 2. 最小工作模式下的总线写操作 8086/8088 最小工作模式总线写操作的时序见图 2.5(b) 一个基本的写操作周期也包含 4 个状态, 即 T 1,T 2,T 3,T 4 当存储器或外部设备速度较慢时, 在 T 3 和 T 4 状态之间, 也需插入几个等待状态 T w (1)T l 状态在 T 1 状态, 微处理器要用 M/ IO 信号指出当前执行的写操作是将数据写入内存还是写入 I/O 端口 如果是写入内存, 则 M/ IO 为高电平, 如果是写入 I/O 端口, 则 M/ IO 为低电平 所以, 在 T 1 状态,M/ IO 便进入有效电平 ( 见图 2.5(b)1), 此有效电平一直保持到 T 4 状态才结束 微处理器在 T 1 状态还提供了地址信号来指出具体要往哪一个存储单元或 I/O 端口写入数据 ( 见图 2.5(b)2) 高 4 位地址和状态信号是从同一组引脚上分时送出的, 低 16 位地址和数据是从同一组引脚上分时传输的, 所以, 必须把地址信息锁存起来 为了实现地址的锁存, 微处理器在 T 1 状态从 ALE 引脚上送出一个地址锁存信号 地址锁存信号是一个正向脉冲 ( 见图 2.5(b)3), 在 ALE 的下降沿到来之前, 地址信号和 BHE,M/ IO 都已经有效, 地址锁存器 8282 就是利用 ALE 的下降沿对地址信号和 BHE,M/ IO 进行锁存 BHE 信号是数据总线高位有效信号, 微处理器也是在 T 1 状态的开始就使 BHE 信号有效 ( 见图 2.5(b)4) BHE 信号在实际系统中作为存储体的体选信号, 配合地址信号来实现对奇地址存储体中存储单元的寻址 偶地址存储体的体选信号一般用 A 0, 当地址最低位 A 0 为 0 时, 选中偶地址存储体 当系统中有数据收发器时, 在总线写周期中, 要用 DEN 信号作为数据收发器的允许信号, 而用 DT/ R 信号来控制收发器的数据传输方向 为此, 微处理器在 T 1 状态就使 DT/ R 信号成为高电平 ( 见图 2.5(b)5), 以表示本总线周期执行写操作 (2)T 2 状态地址信号发出之后, 微处理器立即从地址 / 数据复用引脚 AD 15 ~AD 0 发出要写到存储单元或 I/O 端口的数据 ( 见图 2.5(b)7), 数据信息会一直保持到 T 4 状态的中间 与此同时, 微处理器在 A 19 /S 6 ~A 16 /S 3 引脚上发出状态信号 S 6 ~S 3 ( 见图 2.5(b)6), 而 BHE 信号则消失 ( 见图 2.5(b)8)

39 28 微型计算机原理与接口技术 在 T 2 状态, 微处理器从 WR 引脚上发出写信号 WR, 写信号与读信号一样, 一直维 持到 T 4 状态 ( 见图 2.5(b)9) 在实际系统中, 写信号送到所有的存储器和 I/O 接口, 但是, 只有被地址信号选中的存储单元或 I/O 端口, 才被 WR 信号写入数据 (3)T 3 状态 在 T 3 状态, 微处理器继续提供状态信息和数据, 并且继续维持 WR,M/ IO 及 DEN 信号为有效电平 (4)T w 状态 如果系统中设置了 READY 电路, 并且微处理器在 T 3 状态的一开始未收到 准备 好 信号, 那么会在状态 T 3 和 T 4 之间插入一个或几个等待周期, 直到在某个 T w 的前沿 处, 微处理器采样到 准备好 信号后, 便将此 T w 状态作为最后一个等待状态 执行 完此 T w 状态后进入 T 4 状态 在 T w 状态, 总线上所有控制信号的情况和 T 3 时一样, 数 据总线上也仍然保持要写入的数据 (5)T 4 状态 在 T 4 状态, 微处理器认为存储器或外部设备端口已经完成数据的写入, 因而, 数 据从数据总线上被撤除, 各控制信号线和状态信号线也进入无效状态 此时,DEN 信号 总是进入高电平, 从而使总线收发器不工作 3. 最大工作模式下的总线操作 工作在最大模式时总线控制信号不是由微处理器直接控制, 是由总线控制器 8288 提供 最大工作模式时 8086 微处理器的读 / 写周期时序如图 2.6 所示 从总线周期的 T 1 时钟周期开始, 微处理器就输出 S 2,S 1,S 0 总线状态信号, 经 8288 译码器等有关电路, 延迟一段时间后才会输出对存储器或 I/O 端口的控制命令, 完成读 / 写操作功能 T 1 T 2 T 3 T w T 4 (a) 读总线周期时序

40 第 2 章微处理器 29 T 1 T 2 T 3 T w T 4 (b) 写总线周期时序 图 2.6 最大模式时 8086 总线周期时序图 2.2 Pentium 微处理器 Pentium 微处理器概述 1993 年 3 月 Intel 发布了首款 Pentium 微处理器 Pentium 60, 它是继 后开发的高性能的产品, 是采用 RISC 技术的 CISC 微处理器, 芯片上集成了 300 万只晶体管 Pentium 微处理器采用了 16KB 的 Cache 超标量结构和流水线技术,Pentium 微处理器内部有两条流水线 :U 流水线和 V 流水线 U 流水线处理复杂指令,V 流水线处理简单指令, 这两条流水线每个配有 8KB 的高速缓存 这样, 微处理器的速度大大加快 Intel 公司由此甩开了竞争对手 AMD 公司和 Cyrix 公司 早期的 Pentium75~120 使用 0.6μm 的半导体制造工艺, 后期 120MHz 频率以上的 Pentium 则改用 0.35μm 工艺, 这有助于微处理器频率的进一步提高 经典 Pentium 的供电电压均为 3.3V Pentium 对 做了下列重大改进 : 采用超标量体系结构, 内含两条指令流水线 ; 内置的浮点运算部件采用超流水线技术, 有 8 个独立执行部件进行流水线作业 ; 增加了分支指令预测 ; 内置了指令和数据两个独立的超高速缓存器, 避免了预取指令和数据可能发生的冲突 ; 采用 64 位外部数据总线, 提高了经总线访问内存数据的速度 ; 引入了内部错误检测 功能冗余校验和错误报告等自诊断功能 ; 进行了更多的可测性设计 ( 如边界扫描 探针方式等 ); 提供了独特的性能监察功能 ; 提供了灵活的存储器页面管理 Pentium 内部结构如图 2.7 所示

41 30 微型计算机原理与接口技术 图 2.7 Pentium 内部结构图 Pentium 典型产品 1.Pentium MMX 这是继 Pentium 后 Intel 的又一个成功的产品 Pentium MMX 在原 Pentium 的基础上进行了重大的改进, 增加了片内 16KB 数据缓存和 16KB 指令缓存,4 路写缓存以及分支预测单元和返回堆栈技术, 特别是新增加的 57 条 MMX 多媒体指令, 使得 Pentium MMX 即使在运行非 MMX 优化的程序时也比同主频的 Pentium 微处理器要快得多 57 条 MMX 指令专门用来处理音频 视频等数据, 这些指令可以大大缩短微处理器在处理多媒体数据时的等待时间, 使微处理器拥有更强大的数据处理能力 与经典 Pentium 不同,Pentium MMX 采用了双电压设计, 其内核电压为 2.8V, 系统 I/O 电压仍为原来的 3.3 V 2.Pentium Pro Pentium 系列的微处理器采用 Socket 7 结构的主板 这种结构通常在主板上集成了 512KB 的二级缓存, 但是由于缓存是集成在主板上, 微处理器性能仍然不能够得到充分发挥 于是 Intel 公司考虑把二级缓存和微处理器直接集成到一起 基于这种思路,Intel 于 1995 年推出了 Pentium Pro 微处理器, 芯片内部集成二级缓存, 采用 Socket 8 结构, 最先推出的是 150MHz, 用于工作站等高端领域, 但同时由于技术方面的原因制造成本大大提高, 废品率也直线上升

42 第 2 章微处理器 31 3.Pentium II 由于以上的原因,Pentium Pro 并不是一个成功的产品 为解决以上问题,Intel 抛弃了 Socket 结构, 推出了基于 Slot 1 结构的 PentiumⅡ 微处理器, 它使 Intel 继续保持了在高端微处理器市场上的优势 传统 PentiumⅡ 是以 SECC(Single Edge Contact Cartridge) 的塑胶外框包装, 而内部的电路板有 BSRAM 芯片 Cache 控制器以及微处理器核心芯片, 微处理器核心芯片采用 PLGA(Plastic Land Gird Array) 的封装方式 新包装的 Pentium II 采用了一种称为 OLGA(Organic Land Gird Array) 的封装技术 Pentium II 是新一代的 Pentium 微处理器, 主要有 233MHz,266MHz,300MHz, 333MHz,350MHz,400MHz,450MHz 这 7 种规格 Pentium II 的发展历经了 3 个阶段 : 第一阶段的 Pentium II 代号 Klamath, 使用 0.35μm 工艺制造, 微处理器核心电压为 2.8V, 工作在 66MHz 外频下, 主要频率有 233MHz,266MHz,300MHz 三种 ; 第二阶段的 Pentium II 代号为 Deschutes, 采用 0.25μm 工艺制造, 由于工艺的改进, 新一代 Pentium II 的核心电压大幅度下降, 为 2.0V, 工作频率也是 66MHz, 主要频率有 300MHz,333MHz 等几种 ; 第三阶段的 Pentium II 代号仍为 Deschutes, 采用 0.25 μm 制造工艺, 核心电压 2.0V, 工作在 100MHz 外频下, 主要频率有 350MHz,400MHz 和 450MHz 这 3 种 4.Celeron 虽然 Pentium II 的性能不错, 但是其昂贵的价格使不少人投向了 Super 7 阵营, 为了抢回失去的低端市场,Intel 推出了 Celeron( 赛扬 ) 微处理器, 赛扬系列的核心工作电压为 2.0V 赛扬的发展经历了 3 个阶段 : 第一阶段是代号为 Covington 的赛扬 266 和 300, 采用 0.25μm 工艺制造,Slot 1 架构, 没有片内 L2 缓存 正因为如此, 其整数运算能力很差, 赛扬 266 的整数运算能力甚至还不及 Pentium MMX 233 高, 由于 L2 缓存对浮点运算影响不大, 所以赛扬的浮点运算能力与 Pentium II 一样出色 ; 第二阶段的赛扬代号为 Mendocino, 采用 0.25μm 工艺,Slot 1 架构, 它与 Covington 最大的不同便是增加了整合在微处理器内部的 128KB L2 缓存, 并以与微处理器相同频率工作 二级缓存对 CPU 整数运算速度的影响非常大, 新的赛扬尽管只有 128KBL2 缓存 (Pentium II L2 缓存的 1/4), 但是由于它以 CPU 相同频率工作, 性能也不可小视 目前市场上的 Mendocino 有 300 和 333 两种频率规格, 前者就是我们通常所说的赛扬 300A( 以区别第一代赛扬 ), 还有 366MHz 以及 400MHz 的 Mendocino; 第三阶段的赛扬采用了 Socket 370 架构, 由于 Mendocino 的缓存集成在微处理器内部, 使得它所带的大块电路板变成了中看不中用的累赘, 为了压低成本 降低售价,Intel 便推出了与谁也不兼容的 Socket 370 接口赛扬 333 和 366, 届时将有 Intel ZX 芯片组与其配合, 与现在市场上流行的赛扬 300A 相比, 这种 Socket 370 接口的赛扬只是改变了接口方式并提高了主频 ( 但还是运行在 66MHz 的外频上 ), 其他没有任何变化

43 32 微型计算机原理与接口技术 5.Pentium III Pentium III 微处理器是 Intel 的新一代产品, 它是准 64 位微处理器, 采用 0.25μm 制造工艺, 使用的是 Katmai 内核, 新的 SECC2 插口 Pentium III 拥有 32KB 一级缓存和 512KB 二级缓存 ( 运行在芯片核心速度的一半以下 ), 包含 MMX 指令和 Intel 自己的 3D 指令 SSE(Streaming SIMD Extensions) 最初发行的 Pentium III 有 450MHz 和 500MHz 两种规格, 其系统总线频率为 100MHz 除了 SSE 指令外,Pentium III 与 Pentium II 是极为相似, 在运行没有为 SSE 指令优化过的应用软件时,Pentium III 与 Pentium II 的速度几乎一样 Pentium III 继承了 Pentium II 的优秀性能, 无论是整数还是浮点运算, 均十分出色, 在运行没有 SSE 优化的软件时, 速度与同主频 Pentium II 相当, 在运行专门为 SSE 指令优化过的软件时,Pentium III 可以获得比同主频 Pentium II 更快的速度 Pentium III 微处理器的设计考虑了互联网的应用, 每个 Pentium III 微处理器都有一个特定的号码,Intel 认为这给用户带来的好处就是可以提高互联网上的安全性 这个全新的 64 位微处理器序列号就相当于计算机的 身份证, 用户既可以对计算机进行认证, 也可以用它进行加密, 以提高保密性 1999 年 10 月,Intel 发布了基于 0.18μm 技术制造 开发代号为 Copper mine 的新一代 Pentium III 微处理器, 核心集成了 2800 万个晶体管 此次 Intel 所发布的产品包括桌面 PC 产品 笔记本 PC 和工作站微处理器在内的 15 款产品, 其最高主频已达 733MHz, 外频可以达到 133MHz 与 Pentium III 不同的是, 它的 256KB 二级缓存是集成在微处理器中的 它还采用了以下几个新技术 : 与微处理器同步运行的二级缓存 ; 先进的缓存转换构架技术 ; 先进的系统缓冲器技术 ; 适用于移动计算机系统的 Speed Step 技术 6. Xeon( 至强 ) 在高端面向服务器市场,Intel 也发布了新的 Xeon ( 至强 ) 微处理器, 并按照不同用户的需要发布了多个档次的版本, 主要的区别是集成的二级缓存的容量不同 新 Xeon 同样也采用了 Copper mine 核心和 0.18μm 制造工艺, 它采用 Slot 2 接口, 必须采用 Intel GX/NX 控制芯片组的主板协同工作 习 题 与 8088 微处理器有哪些相同之处? 又有哪些区别? 微处理器从功能上分为几部分? 各部分由什么组成? 在内部结构上的主要特点是什么? 微处理器的起始取指的地址是多少? 怎样形成这个地址? /8088 系统中为什么要用地址锁存器? 锁存的是什么信息? 用什么信号锁存? 5. 什么是最小模式和最大模式? 它们在用途上有什么不同?

44 第 2 章微处理器 /8088 的基本总线周期由几个时钟组成? 如果一个微处理器的时钟频率为 24MHz, 那么, 它的一个时钟周期为多少? 一个基本总线周期为多少? 7. 在总线周期的 T 1,T 2,T 3,T 4 状态, 微处理器分别执行什么动作? 什么情况下需要插入等待状态 T w?t w 在哪插入? 怎样插入? 8. 简述 Pentium 微处理器特点

45 第 3 章接口与 I/O 端口 本章主要介绍微型计算机中接口的概念以及 I/O 端口地址的译码方式等内容 3.1 接口 微型计算机的接口电路是为了解决计算机和种类繁多的外部设备之间的信息问题而提出来的 接口电路是计算机和外部设备之间传输信息的部件, 每个外部设备都要通过相应的接口和主机相连 通常把介于主机和外部设备之间的一种缓冲电路称为接口电路, 如图 3.1 所示 对于主机, 接口电路提供了外部设备工作状态数据的存储 ; 对于外部设备, 接口电路则记忆了主机下达给外部设备的一切命令和数据, 从而使主机与外部设备之间协调一致地工作 微处理器 接口电路 外部设备 图 3.1 微处理器通过接口电路来连接外部设备 接口的信息微处理器要能对 I/O 设备进行编程应用, 就需要与 I/O 设备之间进行必要的信息传输 微处理器与 I/O 设备之间传输的信息可以分为数据信息 状态信息 控制信息 3 类 1. 数据信息微处理器和外部设备交换的基本信息就是数据, 数据信息可大致分为以下 3 类 (1) 数字量 数字量是用二进制形式表述的数据 图形 文字等信息, 通常以并行的 8 位或 16 位进行传输 例如, 由键盘 磁盘机 卡片机等读入的信息, 主机送给打印机 显示器 绘图仪等以 ASCII 码表示的数据和字符信息等 (2) 模拟量 如果一个微型机系统是用于控制的, 那么, 多数情况下的输入信息就是现场的连续变化的物理量, 如温度 湿度 位移 压力 流量等 这些物理量一般通过传感器先变成电压或电流等数字量, 才能向微处理器传输 (3) 开关量 通常用于表示两种状态 0 或 1, 如开关的闭合或断开 电机的运转或停止 阀门的打开或关闭等 2. 状态信息状态信息反映了当前外部设备或接口本身所处的工作状态, 是外部设备发给微处理器的, 用来协调微处理器和外部设备之间的操作 对于输入设备来说, 通常用 准备好

46 第 3 章接口与 I/O 端口 35 (READY) 信号来表示输入数据是否准备就绪, 通知微处理器接收 ; 对于输出设备来说, 通常用 忙 (BUSY) 信号表示输出设备是否处于空闲状态, 若不 忙, 则可接受微处理器接来的信息, 否则要求微处理器等待 有的设备有指示出错状态的信号, 如打印机的缺纸 故障等 不同的外部设备可以有不同的状态信号 3. 控制信息微处理器通过发送控制信息控制外部设备的工作 一般来说, 微处理器把控制信息传输到接口, 再由接口具体控制外部设备的工作 例如, 外部设备的启动信号和停止信号就是常见的控制信息 严格地说, 数据信息 状态信息和控制信息各不相同, 应该分别传输, 但在微型计算机系统中, 微处理器通过接口和外部设备交换信息时, 状态信息 控制信息也被广义地看成是一种数据信息 即状态信息作为一种输入数据, 而控制信息作为一种输出数据 3 种信息全都通过系统的数据总线来传输, 在接口中, 对这 3 种信息进行区分 接口的功能由于外部设备品种的繁多, 信息格式的多样, 设备速度的差异非常大 因此, 主机与外部设备进行数据交换时就存在了以下的问题 速度的不匹配, 微处理器速度高, 外部设备速度低 信息格式的不匹配, 微处理器与外部设备在信号线功能定义 逻辑定义和时序关系上都不一致 若不通过接口, 而由微处理器直接对外部设备的操作进行控制, 就会使微处理器处于极低工作效率的环境中 外部设备直接由微处理器控制, 也会使外部设备的硬件结构依赖于微处理器, 对外部设备本身的独立发展不利 因此, 由必要设置接口电路, 以便协调微处理器与外部设备两者的工作, 提高微处理器的效率, 并有利于外部设备按照自身的规律发展 接口的基本功能总体来说就要能够解决以上这些问题, 保证微处理器与外部设备之间能进行可靠的 有效的信息交换 为了完成这些任务, 接口必须具备以下的这些功能 (1) 数据缓冲功能为解决微处理器与外部设备之间工作速度的差异, 接口中必须有数据缓冲区, 以避免数据丢失 同时接口必须具备数据转换功能, 以使采用不同数据格式的外部设备和微处理器之间能够进行数据传输 (2) 端口选择功能微型计算机信号中的外部设备往往不止一个, 而微处理器在同一时间里只能与一台外部设备交换信息, 这就要借助于接口的地址译码对外部设备进行寻址 寻址功能就使接口及内部 I/O 端口能被微处理器选中 接口应有片选信号, 同时要能够对微处理器送出的地址信号及相应的控制信号做出解释, 以判断当前接口是否被选中, 选中的是接口中哪个 I/O 端口 (3) 信号转换功能

47 36 微型计算机原理与接口技术 当外部设备提供的数据形式不是微处理器能直接接收的形式时, 就要进行信号转换, 即通过接口转换成微处理器可接收的形式, 如 A/D 转换, 串 / 并转换接口等 反之, 微处理器提供的数据不是外部设备能够直接接收的形式, 通过接口转换成外部设备可接收的形式, 如 D/A 转换 并 / 串转换接口等 (4) 接收和执行微处理器命令的功能微处理器是通过接口控制外部设备的 接口应能接收到微处理器的命令, 解释命令, 并根据命令的含义产生相应的控制信号送往外部设备 (5) 中断管理功能如果外部设备要采用中断的形式申请得到微处理器的服务, 接口就应该具有发送中断请求信号和接收中断相应信号的功能, 而且还要能发送中断类型号 若此时接口有不止一个外部设备, 接口还应该具有优先级管理功能 (6) 可编程功能为了使接口具有较强的通用性 灵活性, 接口应有多种工作方式, 并且可以在程序中用软件来设置接口的工作方式, 以适应不同的用途 接口电路的组成 1. 硬件电路为了实现这些功能, 接口就必须具备以下的逻辑部件 1 用于数据寄存 缓冲的逻辑部件, 以适应两者速度上的差异, 解决两者之间 快 与 慢 的问题 它们通常由寄存器或 ROM 芯片组成 2 用于地址译码和设备选择的逻辑部件, 以保证微处理器能够顺利地访问所选定的 I/O 设备 3 用于设备控制及监测的逻辑部件, 以保证设备接收微处理器输出的命令和参数, 按指定的命令控制设备完成微处理器所指定的操作, 并把指定设备的工作状态返回给微处理器, 例如, 打印机的打印操作 4 信息格式相容性转换的逻辑部件, 以满足两者各自对数据格式的要求 5 电器性能适配的逻辑器件, 以确保两电路按各自要求的信号类型和电平进行工作 6 时序控制的逻辑部件, 以实现两者间异步传输的规程, 满足双方各自对时序的要求 通常用 握手应答 的方式确保两者操作的同步 7 中断和 ( 或 )DMA 控制的逻辑部件, 以确保在中断和 ( 或 )DMA 允许的情况下, 产生中断和 ( 或 )DMA 请求信号, 并在接收到中断和 ( 或 )DMA 应答之后, 实施对中断和 ( 或 )DMA 的传输 外部设备通过接口电路与系统的连接如图 3.2 所示 在接口逻辑部件中, 上述前 3 项部件都是必须的, 其他的逻辑部件可以根据 I/O 设备的复杂程度有所变化

48 第 3 章接口与 I/O 端口 37 CPU 数据线地址线状态线命令线 数据输入存储器数据输出存储器控制存储器状态存储器地址译码器 数据线 地址线 状态线 外部设备 图 3.2 外部设备通过接口与系统的连接 2. 软件编程接口电路由于外部设备的多样性而没有一个固定模式, 但从实现接口的功能来看, 一个完整的设备接口程序 ( 接口驱动程序 ) 大约包括如下一些程序段 1 初始化程序段, 对可编程接口芯片 ( 或控制芯片 ) 都需要通过其方式命令或初始化命令设置工作方式及初始条件, 这是接口程序中的基本部分 2 传输方式处理程序段, 对于不同的数据传输, 就需要使用不同的传输方式 例如, 查询方式有检测外部设备或接口状态的程序段 ; 中断方式有中断向量修改 对中断源的屏蔽 / 开放以及中断结束等的处理程序段 3 主控程序段, 主控程序段是指完成接口任务的程序段 如数据采集的程序段, 包括发转换启动信号 查转换结束信号 读数据以及存储数据等内容 4 程序终止与退出程序段, 该程序段包括了程序结束退出前对接口电路中硬件的保护程序段 例如, 对一些芯片的引脚的设置等 5 辅助程序段, 此程序段包括人机对话 菜单设计等内容 以上这些程序段是相互依存的, 是一体的 只是为了分析一个完整的设备接口程序才划分为几个不同的部分 接口类型接口按不同的方式分类有以下几种类型 1. 按数据传输方式分类 (1) 并行接口主机与接口 接口与 I/O 设备之间都是以并行的方式来传输信息, 即每一次都是将一个字节 ( 或一个字 ) 的所有位同时进行传输 ( 如 Intel 8255A), 因此, 并行接口的数据通道宽度是按字或字节设置的 当 I/O 设备的工作方式是并行操作方式, 并且与主机系统的距离较近时, 常选用并行接口 (2) 串行接口接口与 I/O 设备之间是以串行传输数据的, 即每一个字是在设备与接口之间一位一位地进行数据传输 ( 如 Intel 8251A) 的 而接口与主机之间则是按字节或字并行传输, 因此, 要求串行接口中必须设置具有移位功能的数据缓冲寄存器, 以实现数据格式的串 / 并转换, 还必须有同步定时脉冲信号来控制信息传输的速率

49 38 微型计算机原理与接口技术 2. 按通用性分类 (1) 通用接口通用接口可以提供给多种外部设备使用, 如 Intel 8255A,8212 (2) 专用接口专用接口是为某类外部设备或某种用途专门设计的 如 Intel 8279 可编程键盘 / 显示器接口等 3. 按数据传输的控制方式分类 (1) 程序型接口程序型接口用于连接速度较慢的 I/O 设备, 如显示终端 键盘 打印机等 现代计算机一般都可采用程序中断方式实现主机与 I/O 设备交换信息, 故都配有这类接口, 如 Intel 8259 (2)DMA 型接口 DMA 型接口是用于连接高速 I/O 设备, 如磁盘 磁带等, 常用的有 Intel 按数据传输操作同步与否分类 (1) 同步接口同步接口是按照微处理器控制节拍进行的, 不论是微处理器与 I/O 设备, 还是存储器与 I/O 设备, 在交换信息时都是与微处理器时钟的节拍同步 这种接口控制简单, 但是它的操作完成时间只能是微处理器时钟的整数倍 (2) 异步接口异步接口的操作不由微处理器节拍控制, 微处理器与 I/O 设备之间交换信息采用的是应答方式 通常把交换信息的两个设备分别称为主控设备和从属设备, 如果将微处理器叫做主控设备, 而某一个 I/O 设备则被叫做从属设备 主控设备提出交换信息的 请求 信号, 经接口传递到从属设备, 从属设备完成主控设备指定的操作后又通过接口向主控设备发出 回答 信号 整个信息交换过程就是以一问一答的形式进行 接口技术的发展趋势如前所述, 接口主要是为了解决计算机与外部设备之间的信息交换问题 早期的接口电路由小规模集成电路构成功能简单的逻辑电路 随着大规模集成电路以及计算机技术的发展, 目前的接口电路中的主要部件几乎都是功能强大的大规模集成电路, 有些接口电路中还有自己的微处理器以及内部总线 微处理器只需要进行很少的控制操作, 这些接口电路就可以根据微处理器的要求完成对外部设备的控制和管理 这样就大大减轻了微处理器的负担, 提高了微处理器的工作效率 接口技术的发展趋势是采用大规模 超大规模集成电路, 向智能化和标准化方向发展 目前, 微型计算机系统中的接口控制卡由若干个集成电路按一定的逻辑构成, 组装为一个组件, 它或者直接与微处理器并接在一个系统板上, 或者构成一个插件插在系统 I/O 扩展槽上, 按照所连接的外部设备控制的难易程度, 该控制卡的核心器件或为一般

50 第 3 章接口与 I/O 端口 39 的接口芯片或为一个微处理器 一般凡安装有微处理器控制芯片的接口通常称为智能接口 一般常见的接口卡有 VGA 显示卡 网络连接控制卡 声卡等 随着计算机技术的发展, 在 Pentium 及以上的微型计算机系统中, 一般将以上的接口芯片全部或部分集成在一块通常称之为南桥芯片的电路中, 提高了接口的集成度 在现代的微型计算机系统中, 甚至将显示控制接口卡 声卡 网卡也集成到了该芯片中, 即出现所谓的整合主板系统 3.2 I/O 端口及其编址方式 I/O 端口微处理器要和 I/O 设备进行数据传输, 在接口中就必须有一些寄存器或特定的硬件电路供微处理器直接存取访问, 这就是 I/O 端口 为了区分不同的 I/O 端口, 也必须像存储器一样给它们编号, 这就是 I/O 端口的地址 微处理器通过这些地址即端口向接口电路中的寄存器发送命令, 读取状态和传输数据, 因此一个接口可以有多个端口, 如命令口 状态口和数据口, 分别对应与控制寄存器 状态寄存器和数据缓冲寄存器 在接口电路中, 一般一个端口对应一个寄存器 ; 也可以一个端口对应多个寄存器, 此时由内部控制逻辑电路根据程序指定的 I/O 端口地址和数据标志位选择不同的寄存器进行读 / 写操作 因此, 微处理器在访问这些寄存器时, 只需指明它们的端口, 不需指出是什么寄存器 我们在输入 / 输出程序中, 也只看到端口, 而看不到相应的具体寄存器 也就是说, 访问端口就是访问接口电路中的寄存器 这些端口可以是输入端口 也可以是输出端口, 还可以是双向端口 端口寄存器或部分端口限于 I/O 口的操作, 即微处理器所访问的是与 I/O 设备相关的端口, 而不是 I/O 设备本身 对 I/O 端口的访问, 则取决于 I/O 端口的编址方式, 即 I/O 编址 常用的编址方式主要有 I/O 端口与存储器统一编址和 I/O 端口与存储器分开独立编址 I/O 端口的输入输出指令 (1) 输入指令 IN Acc,Port 或 IN Acc,DX 输入指令是把一个字节或一个字由输入端口传输至 AL(8 位 Acc) 或 AX(16 位 Acc) 端口地址若是由指令中的 port 所规定, 则只可以寻址 0~255 端口地址若用寄存器 DX 间址, 则允许寻址 64k 个输入端口 (2) 输出指令 OUT Port,Acc 或 OUT DX,Acc 输出指令是把在 AL 中的一个字节或在 AX 中的一个字, 传输到输出端口 端口寻址方式与 IN 指令相同 输入输出指令传输的是字节还是字, 取决于端口的宽度 I/O 端口的编址方式 1.I/O 统一编址 统一编址又称存储器映像编址方式 这种方式把每一端口视为一个存储单元, 将它

51 40 微型计算机原理与接口技术 们和存储单元联合在一起编排地址, 即 I/O 端口和存储器使用同一个地址空间 这样, 可利用访问指令去访问 I/O 端口, 而不需要专门的 I/O 指令 微处理器采用存储器读写 控制信号, 并经地址译码控制来确定是访问存储器还是访问 I/O 设备 端口统一编址的优点如下 对 I/O 接口的操作与对存储器的操作完全相同, 任何存储器操作指令都可以用 来操作 I/O 接口, 而不必使用专用的 I/O 指令 系统中存储器操作指令是较为 丰富多彩的, 这样就大大增强了系统的 I/O 功能, 使访问外部设备端口的操作 方便 灵活, 不仅可对端口进行数据传输, 还可以对端口内容进行移位和算术逻辑运算等 可以使外部设备数目或 I/O 寄存器数目几乎不受限制, 而只受总存储容量的限制, 从而大大增加了系统的吞吐率, 这一特点在某些大型控制或数据通信系统等特殊场合是很有用的 使微型计算机系统的读 / 写控制逻辑较简单 端口统一编址主要缺点如下 占用了存储器的一部分地址空间, 使可用的内存空间减少 访问内存的指令一般较长, 执行速度较慢 为了识别一个 I/O 端口, 必须对全部地址线译码, 这样不仅增加了地址译码电路的复杂性, 而且使执行外部设备寻址的操作时间相对较长 2.I/O 独立编址 I/O 端口的地址空间与内存单元的地址空间相互分开各自独立 在 8086 系统中, 采用这种编址方式 8086 系统的地址总线中, 信号 A 0 ~A 15 用于 I/O 端口的编址, 决定了 I/O 端口的地址空间为 :0000H~FFFFH 8086 微处理器的控制信号 M/ IO 信号用来区分微处理器要访问的是内存单元还是 I/O 端口 8086 指令系统提供专用于 I/O 端口操作的输入 / 输出指令, 即 IN 和 OUT 指令, 在指令中可采用 8 位或 16 位地址两种寻址方式 若采用 8 位单字节寻址, 则可寻址的地址空间位 2 8 =256 个端口 ( 地址号为 00H~FFH), 端口寻址方为直接寻址方式, 指令格式如下 输入 : IN AX,Port ;16 位数据传输至 AX 存储器 或 : IN AL,Port ;8 位数据传输至 AL 存储器 输出 : OUT Port,Ax ;16 位数据输出至 Port 端口 或 : OUT Port,AL ;8 位数据输出至 Port 端口 在这里,Port 是一个单字节的端口地址 如采用 16 位双字节寻址, 则最大可寻址的地址空间为 64k 个端口 ( 地址号为 0000H~FFFFH) 这时必须采用 DX 寄存器间接寻址, 即把端口地址放在 DX 寄存器内, 此时指令格式如下 输入 : MOV DX,XXXXH ;16 位地址传输至 DX 寄存器 IN AX,DX ;16 位数据传输至 AX 寄存器 或 : IN AL,DX ;8 位数据传输至 AL 寄存器 输出 : MOV DX,XXXXH ;16 位地址传输至 DX 寄存器 OUT DX,AX ;16 位数据输出 或 : OUT DX,AL ;8 位数据输出

52 第 3 章接口与 I/O 端口 41 在这里,XXXXH 为两个字节的端口地址 这种编址方式的优点是 :I/O 端口地址不占用存储器地址空间, 或者说存储器全部地址空间都不受 I/O 寻址的影响 ; 由于 I/O 地址线较少, 所以 I/O 端口地址译码比较简单, 寻址速度较快 ; 使用专门 I/O 指令和真正的存储器访问指令有明显区别, 可使程序编制得清晰, 程序可读性强 这种方式的缺点是专用 I/O 指令少, 远不如存储器访问指令丰富, 程序设计的灵活性较差 3.3 I/O 接口的端口的地址译码 I/O 端口的寻址特点微型计算机系统中微处理器是通过独立于存储空间以外的一个 I/O 空间对 I/O 接口进行寻址的, 其特点如下 端口地址空间位 1KB 在 PC 机中设置 1024 个 I/O 端口地址, 其编号为 000H~ 3FFH 使用专门的控制信号访问 所有连接在系统总线上的 I/O 端口均使用低 10 位地址线 (A 0 ~A 9 ) 微处理器使用 IOR 和 IOW 低电平有效地对端口进行读写操作 使用 I/O 指令对端口编程 微处理器通过 I/O 指令对端口编程, 可实现 I/O 数据的传输 I/O 端口的地址分配不同的微型计算机系统对 I/O 端口地址的分配是不同的 Intel 系列 PC 微型计算机 I/O 地址线有 16 根, 对应的 I/O 端口编址可达 64B 但由于 IBM 公司当初设计微型计算机主板及规划接口卡时, 其端口地址译码采用的是非完全译码方式, 即只考虑了低 10 位地址线 A 0 ~A 9, 而没有考虑高 6 位地址线 A 10 ~A 15, 故其 I/O 端口地址范围是 0000H~ 03FFH, 总共只有 1024 个端口, 并且把前 512 个端口分配给了系统板 ; 后 512 个端口分配给了扩展槽上的常规外部设备 即一般 0200H~03FFH 地址范围作为扩展插槽用的口地址, 用户接口一般在此范围进行口地址译码 因此, 在实用中, 仅用 A 0 ~A 9 地址线进行 I/O 口地址译码, 并用 A 9 进行分界,A 9 =0 是微型计算机系统板所用的端口, 用户做接口卡时接口地址译码要保证 A 9 =1 若微型计算机扩展槽不够用, 则可配置扩充机柜, 它也备有多个槽 使用时, 只需要在系统扩展槽中插一块扩展卡 ( 用于提高总线带负载能力 ), 在扩充机柜中插入一块接收卡, 再用扩展电缆相连即可 在后来 PC/AT 系统中, 端口地址的设置又做了一些调整 其中, 前 256 个端口 (0000H~00FFH) 供系统板上的 I/O 接口芯片使用, 如表 3.1 所示 ; 后 768 个端口 (0100H~03FFH) 供扩展槽上 I/O 接口控制卡或做在主机板上的 I/O 接口电路使用 ( 例如, 许多机器的硬盘接口是做在主机板上的 ), 如表 3.2 所示

53 42 微型计算机原理与接口技术 表 3.1 系统板上接口芯片的端口地址 DMA 控制器 1 DMA 控制器 2 DMA 页面寄存器中断控制器 1 中断控制器 2 定时器键盘控制器 RT/CMOS RAM NMI 屏蔽寄存器协处理器 I/O 接口名称 0000H~001FH 00C0H~00DFH 0080H~009FH 0020H~003FH 00A0H~00BFH 0040H~005FH 0060H~006FH 0070H~007FH 0070H 00F0H~00FFH 表 3.2 I/O 通道端口地址 I/O 端口地址范围 I/O 接口名称硬盘控制卡游戏控制卡并行口控制卡 1 并行口控制卡 2 串行口控制卡 1 串行口控制卡 2 原型插件板同步通信卡 1 同步通信卡 2 单显通信卡 MDA 彩显控制卡 CGA 彩显控制卡 EGA/VGA 软盘控制卡 PC 网卡 01F0H~01FFH 0200H~020FH 0370H~037FH 0270H~027FH 03F8H~03FFH 02F8H~02FFH 0300H~031FH 03A0H~03AFH 0380H~038FH 03B0H~03BFH 03D0H~03DFH 03C0H~03CFH 03F0H~03F7H 0360H~036FH I/O 端口地址范围 由于 PC 系列及兼容机的不同, 各自功能需要的不同, 以及不同机型的 I/O 端口的分配只能做到大体一致, 有些端口地址可能不相同, 在进行 I/O 接口卡的设计时, 应该首先弄清 I/O 口的地址分配 用户可使用保留的 I/O 地址或未用的 I/O 卡端口 在表 3.1 中分配给每个接口芯片的 I/O 端口地址, 在实际使用中, 并没有完全用完 例如, 中断控制器 8259A 只使用了前面两个端口地址,20H,21H( 主片 ) 和 A0H,A1H ( 从片 ) 定时/ 计数器芯片 8254, 只使用了前面 4 个地址,40H~43H 使用端口地址最多的 DMA 控制器芯片 8237A, 也只使用了前面的 16 个地址 (00~0FH) 从表 3.2 中可以看到, 允许用户使用的端口地址时 300~31FH 这一段地址是留给用户在开发 IBM PC 系列机功能模块 ( 插板 ) 时使用的端口地址, 系统是不会占用它的 除了在表 3.1 和表 3.2 中已经分配了的 I/O 地址之外, 其余的地址均由厂商保留使用 只要设计 I/O 接口电路, 就必然要使用 I/O 端口地址 为了避免端口地址发生冲突, 在选用 I/O 端口地址时要注意如下方面 1 被系统配置所占用了的地址一律不能使用 2 原则上讲, 未被占用的地址用户可以使用, 但对于计算机厂家已经申明保留了

54 第 3 章接口与 I/O 端口 43 的地址, 不要使用, 否则会发生 I/O 端口地址重叠和冲突, 造成用户开发的产品与系统不兼容而失去使用价值 3 通常用户可使用 0300~031FH 地址, 这是 IBM PC 微型计算机留作实验卡用的, 用户可以使用 但是, 由于每个用户都可以使用, 因此在用户可用的这段 I/O 地址范围内, 为了避免与其他用户开发的插板发生地址冲突, 最好采用地址开关 I/O 端口的地址译码方式 微处理器都由低 16 位地址线寻址 I/O 端口, 故可寻址 64k 个 I/O 端口, 但在实际的 PC 机中, 只用了最前面的 1k 个端口地址, 也即只寻址 1k 范围内的 I/O 空间 因此仅仅使用了地址总线的低 10 位, 即只有地址线 A 0 ~A 9 用于 I/O 地址译码 在 DMA 操作时,DMA 控制器控制了系统总线 DMA 控制器在发出地址的同时还要发出地址允许信号 AEN, 所以还必须将 DMA 控制器发出的地址允许信号 AEN 也参加端口地址的译码, 用 AEN 限定地址译码电路的输出 当 AEN 信号有效时即 DMA 控制器控制总线时, 地址译码电路无输出 ; 当 AEN 信号无效时, 地址译码电路才有输出 无论是大规模集成电路的接口芯片, 还是基本的输入输出缓冲单元, 都是由一个或多个寄存器加上一些附加控制逻辑构成的 对这些寄存器的寻址就是对接口的寻址 通常采用两级译码方式, 译码地址的高位组确定一个地址区域, 作为组选信号 ; 低位组地址直接接到芯片的地址输入端, 选择芯片内各寄存器 1. 直接地址译码直接地址译码是一种局部译码方法, 按照系统分配给某接口的地址区域, 对地址总线的某些位进行译码, 产生对该接口包含的缓冲器和寄存器的组选信号, 再由低位地址对组内缓冲器和寄存器译码寻址 写寄存器地址 G1 Y0 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 组选 (2F8H~2FFH) 读寄存器地址 G1 Y0 Y1 Y2 Y3 A2 A1 A0 Y4 Y5 Y6 Y7 图 3.3 直接地址译码

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

FJXBQ

FJXBQ 高等医学院校选用教材 ( 供成人教育中医药专业 中西医结合专业使用 ) 方剂学 闫润红 主编 2 0 0 1 内容简介本书是供成人教育中医药专业 中西医结合专业使用的教材 全书分总论和各论两部分, 总论部分对中医方剂的基本理论, 如治法 君臣佐使 剂型 剂量等及其现代研究进展进行了介绍 各论部分对常用方剂的主治病证 配伍意义 临床应用 加减变化规律及现代研究概况等内容, 按分类进行了系统阐述 在保证方剂学学科知识结构完整性的前提下,

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU --- CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU 2.1 CPU 1. 4 Intel 4004 1971 Intel 4004 2-1 2-1 Intel 4004 2. 8 Intel 8008/8080/8085 1972 Intel 8008 2-2 2-2 Intel

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0 ( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 094711 : : : : * : : 174 ( A ) : 400044 : (023) 65102378

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

(Microsoft Word - \267s\274W Microsoft Office Word \244\345\245\363)

(Microsoft Word - \267s\274W Microsoft Office Word \244\345\245\363) 電 腦 主 機 板 結 構 主 機 板 ( 英 語 :Motherboard, Mainboard, 簡 稱 Mobo); 又 稱 主 板 系 統 板 邏 輯 板 母 板 底 板 等, 是 構 成 複 雜 電 子 系 統 例 如 電 子 計 算 機 的 中 心 或 者 主 電 路 板 典 型 的 主 機 板 能 提 供 一 系 列 接 合 點, 供 處 理 器 顯 示 卡 聲 效 卡 硬 碟 記 憶

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书 做事细节全书 赵彦锋著 企业管理出版社 图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, 2005.11 ISBN 7-80197-338-0 Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B026-49 中国版本图书馆 CIP 数据核字 (2005) 第 136676 号 书 名 : 做事细节全书 作 者 : 赵彦锋 责任编辑 : 吴太刚

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 第 2 章 8086 CPU 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 1 主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 2.1 微型机的基本结构 掌握 微机系统的基本组成 微型机的工作原理 总线 接口 2015 年 3 月 9 日星期一

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算 图灵程序设计丛书 程序员的数学 3: 线性代数 [ 日 ] 平冈和幸堀玄著 卢晓南译 图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, 2016.3 ( 图灵程序设计丛书 ) ISBN 978-7-115-41774-9 Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算机 数学基础 2

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

微机系统与接口--第5章-2.ppt

微机系统与接口--第5章-2.ppt 第五章 数字量输入输出接口 主 要 内 容 * 接口基本概念 * 接口电路 ( 芯片 ) 端口地址 * 数据传送方式 * 总线及其接口 * 中断电路及其处理 * 定时 / 计数器电路与应用 * 并行接口电路与应用 * 串行接口电路与应用 * DMA 电路与应用 1 总线基本概念 CPU 总线 地址总线 AB CPU 存储器 数字 I/O 接口 输入出设备 模拟 I/O 接口 输入出设备 数据总线 DB

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041 图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, 2005.4 ( 沈郎文字 ) ISBN 7-5343-6512-0 Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041843 号 出版者社址网址出版人 南京市马家街 31 号邮编 :210009 http://www.1088.com.cn

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

L1 computer system overview

L1 computer system overview Computer Architecture and Organization Department of Computer Science and Technology Nanjing University Fall 2013 Instructor: Shuai Wang Course Goal In depth understanding of modern computer architecture,

More information

Windows 98 / Windows Me! PC!"#$%& 3!"#$% PC! PC!"#$ PC!"!"#$%&'() 1!"#$ PC! 2!"#$%&'()*+ PC!"#$ PC =EPCMCIAF=!"!! PC!"#$%&'()*+,-. 2 =XXXXXXXX XXXXXXX

Windows 98 / Windows Me! PC!#$%& 3!#$% PC! PC!#$ PC!!#$%&'() 1!#$ PC! 2!#$%&'()*+ PC!#$ PC =EPCMCIAF=!!! PC!#$%&'()*+,-. 2 =XXXXXXXX XXXXXXX Windows 98 / Windows Me! PC!"#$%& 3!"#$% PC! PC!"#$ PC!"!"#$%&'() 1!"#$ PC! 2!"#$%&'()*+ PC!"#$PC =EPCMCIAF=!"!! PC!"#$%&'()*+,-. 2 =XXXXXXXX XXXXXXXX!" PC!" PC!"#$!"#$%&'()*+,!"# PC!"=x!"#$%z=!"#!"#$!!"#

More information

第二章 8086体系结构与80X86CPU

第二章  8086体系结构与80X86CPU 第二章 80x86 体系结构 1 8086CPU 结构 2 8086 系统的结构和配置 3 8086 的工作模式和内部时序 4 IA 微处理器技术的发展 * 2.1 8086CPU 结构 一 8086CPU 的内部结构 二 8086CPU 的寄存器结构 三 8086CPU 的管脚及功能 2.1 8086CPU 结构一 8086CPU 的内部结构 8086CPU 的内部结构组成 8086CPU 由两部分组成

More information

大学计算机信息技术教程·配套习题集(印刷稿/理论题<必做/选做题>)

大学计算机信息技术教程·配套习题集(印刷稿/理论题<必做/选做题>) 2007 [1]. A B C D [2]. [3]. " " A B C ADSL D ADSL [4]. Flash [5]. [6]. A B C D [7]. 11-1024 [8]. [9]. D [3,5] A 5 3 B 5 13 C 3 5 D 15 3 [10]. [11]. CPU [12]. [13]. [14]. A B CPU C/ D [15]. A B C D [16].

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

<4D6963726F736F667420576F7264202D20B971B8A3B577C5E9B8CBADD7A441AFC5B2C4A447B3A1A4C039372E342E3232A44AAE77AAA92E646F63>

<4D6963726F736F667420576F7264202D20B971B8A3B577C5E9B8CBADD7A441AFC5B2C4A447B3A1A4C039372E342E3232A44AAE77AAA92E646F63> 電 腦 硬 體 裝 修 乙 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 人 參 考 資 料 試 題 編 號 :12000-940201~12 審 定 日 期 : 94 年 11 月 30 日 修 訂 日 期 : 96 年 11 月 01 日 修 訂 日 期 : 97 年 01 月 07 日 修 訂 日 期 : 97 年 04 月 22 日 ( 第 二 部 分 ) 電 腦 硬 體 裝 修

More information

微型计算机原理与应用

微型计算机原理与应用 微型计算机原理与接口技术 主讲 : 张国钢副教授 ( 电气工程学院 ) 2016 年 9 月 学什么? 微机原理 建立以 INTEL80X86 为典型机型的计算机内部整机工作的基本原理, 并掌握应用汇编语言进行程序设计的基本方法 ; 接口技术 掌握存储器 I/O 接口电路与 CPU 连接方法 可编程接口电路应用技术 讲什么? 1. 微机系统发展与应用概述 (2 课时 ) 2. 微型计算机应用基础 (2

More information

Microsoft Word - 封面.doc

Microsoft Word - 封面.doc USB 系 统 体 系 中 国 电 力 出 版 社 致 谢 感 谢 各 位 参 与 MindShare 的 USB 入 门 课 程 的 工 程 师 他 们 的 意 见 和 见 解 非 常 有 价 值 感 谢 Don Coston 的 投 稿 特 别 感 谢 Tom 和 Nancy Shanley 的 关 心 和 支 持 关 于 本 书 MindShare 体 系 系 列 MindShare 体 系

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

WJYL.s92

WJYL.s92 21 世纪高等院校教材 微机原理与接口技术 从 80 X86 到 Pentiu m X 马维华主编 北 京 内容简介本书以 Intel 微处理器为核心的微型计算机为背景, 从传统到现代, 全面 系统 深入 详细地介绍了微型计算机的工作原理 实际应用及接口技术, 并特别注重汲取微型计算机最新技术和最新知识, 并融于全书各章之中 全书共分 12 章, 分别介绍微型计算机的基本知识 从 8086 到 Pentium

More information

Microsoft PowerPoint - CH4_1

Microsoft PowerPoint - CH4_1 第四章半导体存储器 (Semi-conductor Memory) 主要内容存储介质的类别和特点半导体存储器 (ROM/RAM/FLASH)( 概念 ) * 半导体存储器连接应用 ( 时序 ) IBM-PC 系列机 MEM 的内存组织 微机系统与接口东南大学 1 CPU 微机系统结构 : 存储器与 I/O 存储器 I/O 接口 输入设备 I/O 接口 地址总线 AB 输出设备 数据总线 DB 控制总线

More information

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2 11 DMA 控制器 8237A 1 本章主要内容 : 11.1 8237A 的组成与工作原理 11.2 8237A 的时序 11.3 8237A 的编程和应用举例 2 作业 p355 1 3 5 6 3 11.1 8237A 的组成和工作原理 1 DMA 传送方式的实现方法 由专用接口芯片 DMA 控制器 ( 称 DMAC) 控制传送过程 ; 当外设需传送数据时, 通过 DMAC 向 CPU 发出总线请求

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

《微机接口技术》总复习题.doc

《微机接口技术》总复习题.doc 计算机接口技术 复习题 第 1 部分概述 本章知识 : 1 微机接口应具备哪些功能? 功能 : 信号转换 提供信号转换的应答信号 外部设备的寻址功能 数据缓冲功能 中断请求功能 可 编程功能 实现对设备的不同操作 2CPU 能直接与外设进行信息交换吗? 为什么? 3 计算机与接口连接的三类数据总线是什么? 哪些是单向传输, 哪些是双向传输的? DB AB CB 4 常见的接口芯片的功能 8254 8250/8251

More information

!"# $ %&'!"#$

!# $ %&'!#$ !"# $ %&'!"#$ 内容简介本书是在作者已经出版的 XilinxAlProgrammableZynq-7000SoC 设计指南 一书的基础上进行大幅度修订而成的 本书的一大特色就是更加突出 ARMCortex-A9 双核处理器的使用 此外, 在修订本书时采用了 Xilinx 最新的 Vivado2015 4 集成开发环境 通过本书的修订, 能反映最新的 ARM 嵌入式设计技术和实现方法, 同时也能更加凸显采用异构架构的

More information

穨ecr2_c.PDF

穨ecr2_c.PDF i ii iii iv v vi vii viii 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 1 26 27 2 28 29 30 31 32 33 34 35 36 37 38 39 40 3 4 41 42 43 5 44 45 46 6 47 48 49 50 51 52 1 53 2 54 55 3 56

More information

電腦相關罪行跨部門工作小組-報告書

電腦相關罪行跨部門工作小組-報告書 - ii - - iii - - iv - - v - - vi - - vii - - viii - (1) 2.1 (2) (3) 13.6 (4) 1.6 (5) 21 (6) (7) 210 (8) (9) (10) (11) ( ) ( 12) 20 60 16 (13) ( ) (

More information

i

i i ii iii iv v vi vii viii ===== 1 2 3 4 5 6 7 8 9 10 ==== 11 12 13 14 15 16 17 18 19 ==== ==== 20 .. ===== ===== ===== ===== ===== ======.. 21 22 ===== ===== ===== ===== 23 24 25 26 27 28 29 ==== ====

More information

发展党员工作手册

发展党员工作手册 发 展 党 员 工 作 问 答 目 录 一 总 论...9 1. 发 展 党 员 工 作 的 方 针 是 什 么? 如 何 正 确 理 解 这 个 方 针?... 9 2. 为 什 么 强 调 发 展 党 员 必 须 保 证 质 量?... 9 3. 如 何 做 到 慎 重 发 展?... 10 4. 如 何 处 理 好 发 展 党 员 工 作 中 的 重 点 与 一 般 的 关 系?...11 5.

More information

i

i 9 1 2 3 4 i 5 6 ii iii iv v vi vii viii 1 1 1 2 3 4 2 5 6 2 3 2.10 ( 2.11 ) ( 2.11 ) ( 2.9 ) 7 8 9 3 10 5% 2% 4 11 93% (2001 02 2003 04 ) ( ) 2,490 (100%) 5 12 25% (2.57% 25%) 6 (2001 02 2003 04 ) 13 100%

More information

39898.indb

39898.indb 1988 4 1998 12 1990 5 40 70.................................................. 40.............................................................. 70..............................................................

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

HighPoint产品的FAQ手册

HighPoint产品的FAQ手册 一 引 言 首 先 承 蒙 贵 公 司 赐 顾, 使 用 HighPoint ( 简 称 HPT) 系 列 产 品 以 下 是 根 据 多 年 来 合 作 的 客 户 所 提 出 的 问 题 而 总 结 出 的 有 关 HighPoint 系 列 产 品 的 FAQ, 欢 迎 您 随 时 提 出 批 评 建 议 以 便 我 们 及 时 改 进 谢 谢! 二 HighPoint RAID 产 品 技

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储

2 微机原理与接口技术 ( 第二版 ) 图 1 1 第一台电子计算机 ENIAC 1944~1945 年间, 著名的美籍匈牙利数学家冯 诺依曼 (John Von Neumann)( 如图 1 2 (a) 所示 ) 应邀参加 ENIAC 计算机研制任务 在研制中, 他深刻认识到 ENIAC 不能存储 第 1 章微型计算机概述 电子计算机是人类历史上最伟大的发明之一 人类从原始社会学会使用工具以来到现代社会经历了三次大的产业革命, 即农业革命 工业革命和信息革命 信息革命是以计算机技术和通信技术的发展与普及为代表的 随着计算机的广泛应用, 人类社会生活的各个方面都发生了巨大变化 特别是随着微型计算机技术和网络技术的高速发展, 计算机逐渐走进了人们的家庭, 改变着人们的生活和思维方式, 成为人们生活和工作不可缺少的工具,

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言 ABeen 汇编语言 学习摘要 ABeen 学习记录 --- 汇编语言篇 QQ: 155235900 1 / 8 一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1.

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_08.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_08.ppt THE 888 AND 886 MICROPROCESSORS AND THEIR MEMORY AND INPUT/OUTPUT INTERFACES The 888 and 886 Microprocessors and Their Memory and Input/output Interfaces 8. The 888 and 886 Microprocessors 8.2 Minimum-Mode

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

<4D F736F F F696E74202D DB5DACAAEBEC5BDB22DB4E6B4A2C6F7BCB0C6E4BDD3BFDAA3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DACAAEBEC5BDB22DB4E6B4A2C6F7BCB0C6E4BDD3BFDAA3A8D2BBA3A92E > 第十九讲 授课教师 : 陆俊林王箫音 2012 年秋季学期 主要内容 一 存储器层次和实现 二 静态 RAM(SRAM) 三 动态 RAM(DRAM) 四 只读存储器 (ROM) 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 13 章存储器及其接口 1 主要内容 一 存储器层次和实现 二 静态 RAM(SRAM) 三 动态 RAM(DRAM) 四 只读存储器 (ROM) 2 存储系统的层次结构

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 第 3 章 8086 的寻址方式和指令系统 (2) 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 1 3.3 8086 指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 3.3.1 数据传送指令 可实现 存储器 立即数 段寄存器 CS DS

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

数字媒体存储技术

数字媒体存储技术 数字媒体存储技术 内存储器 只读存储器 ROM 随机存取存储器 RAM 高速缓冲存器 Cache 外存储器 软磁盘 硬磁盘 优盘 光盘存储器 CD-ROM CD-R DVD 内存储器 内存储器的分类 内存储器泛指计算机系统中存放数据和指令的半导体存储单元 包括 RAM(Random Access Memory, 随机存储器 ) ROM(Read Only Memory, 只读存储器 ) Cache(

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP 3/2 3/4 3/4 SINUMERIK OP 010 3/5 SINUMERIK OP 010S 3/6 SINUMERIK OP 010C 3/7 SINUMERIK OP 012 3/8 SINUMERIK TP 012 3/9 SINUMERIK OP 015 3/10 SINUMERIK OP 015A 3/11 SINUMERIK TP 015A 3/12 SINUMERIK OP 030

More information

普 通 高 等 教 育 十 二 五 重 点 规 划 教 材 计 算 机 系 列 中 国 科 学 院 教 材 建 设 专 家 委 员 会 十 二 五 规 划 教 材 操 作 系 统 戴 仕 明 姚 昌 顺 主 编 姜 华 张 希 伟 副 主 编 郑 尚 志 梁 宝 华 参 编 参 编 周 进 钱 进

普 通 高 等 教 育 十 二 五 重 点 规 划 教 材 计 算 机 系 列 中 国 科 学 院 教 材 建 设 专 家 委 员 会 十 二 五 规 划 教 材 操 作 系 统 戴 仕 明 姚 昌 顺 主 编 姜 华 张 希 伟 副 主 编 郑 尚 志 梁 宝 华 参 编 参 编 周 进 钱 进 科 学 出 版 社 普 通 高 等 教 育 十 二 五 重 点 规 划 教 材 计 算 机 系 列 中 国 科 学 院 教 材 建 设 专 家 委 员 会 十 二 五 规 划 教 材 操 作 系 统 戴 仕 明 姚 昌 顺 主 编 姜 华 张 希 伟 副 主 编 郑 尚 志 梁 宝 华 参 编 参 编 周 进 钱 进 参 编 北 京 内 容 简 介 本 书 由 浅 入 深 系 统 全 面 地 介 绍

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

C. 大规模集成电路 D. 晶体管 参考答案 B 8. 采用超大规模集成电路的计算机是计算机发展中的 A. 第 4 代 B. 第 3 代 C. 第 2 代 D. 第 1 代 参考答案 A 9. 当前的计算机一般被认为是第四代计算机, 它所采用的逻辑元件是 A. 晶体管 B. 集成电路 C. 电子管

C. 大规模集成电路 D. 晶体管 参考答案 B 8. 采用超大规模集成电路的计算机是计算机发展中的 A. 第 4 代 B. 第 3 代 C. 第 2 代 D. 第 1 代 参考答案 A 9. 当前的计算机一般被认为是第四代计算机, 它所采用的逻辑元件是 A. 晶体管 B. 集成电路 C. 电子管 计算机基础知识 1 1. 自计算机问世至今已经经历了四个时代, 划分时代的主要依据是计算机的 A. 规模 B. 功能 C. 性能 D. 构成元件 参考答案 D 2. 一般认为, 世界上第一台电子数字计算机诞生于 A.1946 年 B.1952 年 C.1959 年 D.1962 年 参考答案 A 3. 世界上第一台电子数字计算机采用的电子器件是 A. 大规模集成电路 B. 集成电路 C. 晶体管 D.

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D.

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D. 计算机基础知识 2 79. 在计算机领域中, 通常用英文单词 "byte" 来表示 A. 字 B. 字长 C. 字节 D. 二进制位 参考答案 C 80.8 个字节含二进制位 A.8 个 B.16 个 C.32 个 D.64 个 81. 计算机能解决有大量数据和程序语句的问题, 起主要作用的因素是 A. 大尺寸的彩显 B. 快速的打印机 C. 大容量内外存储器 D. 好的程序设计语言 参考答案 C

More information

投影片 1

投影片 1 4 1 4-1 類 料, 兩 類 2 類 RAM (Random Access Memory, ) ROM (Read Only Memory, 讀 ) 兩, 類, 見 3 類 4 說 CPU, 料都, CPU 行 理 不 力 料, 料便, 料便, 料, CPU 料, 便 料, CPU 行 理 5 料 索 了 便 錄 讀 錄 度 量 量 6 (Virtual Memory) 數 Windows Linux

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information