PowerPoint 演示文稿

Size: px
Start display at page:

Download "PowerPoint 演示文稿"

Transcription

1 2014 华南先进封装技术研讨会 2014/08

2 华进半导体简介 华进半导体封装先导技术研发中心有限公司于 2012 年 9 月在无锡新区正式注册成立 公司英文全称为 :National Center for Advanced Packaging Co., Ltd. (NCAP China) 公司是由中科院微电子所和集成电路封测产业龙头企业长电科技 通富微电 华天科技 深南电路 苏州晶方 安捷利 ( 苏州 ) 中科物联 兴森快捷九家单位共同投资而建立 公司目标 : 建设在国际半导体封测领域中具有影响力的国家级封装与系统集成先导技术研发中心, 在部分领域能够引领国际产业技术发展 面向我国集成电路产业结构调整和创新发展需求, 建设世界一流水平的国际化产业技术研发中心, 在全球创新链中占有自己的位置, 从而推动我国集成电路产业做大做强 公司作为国家级封测 / 系统集成先导技术研发中心, 通过以企业为主体的产学研用结合新模式, 开展系统级封装 / 集成先导技术研究, 研究领域包括 2.5D/3D 硅通孔 (TSV) 互连及集成关键技术 晶圆级高密度封装技术 SiP 产品应用以及与封装技术相关的材料和设备的验证 改进与研发, 为产业界提供系统解决方案 公司团队由具有国际知名企业和研发机构长期技术与管理经验 入选中科院 百人计划 国家 千人计划 的领军人才和具有丰富研发经验的本土团队相结合, 研发人员百余人, 其中一半以上具有博士学位和硕士学位 公司的研发平台包括 : 先进封装设计仿真平台 3200 平米的净化间及 300mm 晶圆整套先进封装研发平台 ( 包括 2.5D/3D IC 后端制程和微组装 ) 封装基板线 测试实验室及可靠性与失效分析平台 服务宗旨 : 以市场需求为导向, 以有偿服务为原则, 以技术成果出让创收益, 为产业服务, 为提升中国集成电路封装产业整体技术水平服务

3 个人简介 林挺宇 现在华进半导体封装先导技术研发中心总监 新加坡微电子所 TSV 项目总监 年分别工作在新加坡飞利浦消费电子, 朗讯科技 (AT&T bell Lab) 及摩托罗拉移动产品全球供应链中心从事和电子封装设计, 新产品导入及大规模生产, 工艺开发和可靠性相关的工作, 六西格玛质量黑带 国际 CSRboard member 及高级顾问 从事 100 多种消费电子产品的设计和开发, 在国际刊物和会议上发表 150 余篇论文,10 项国际专利, 多次获优秀论文奖

4 2.5D/3D 集成及封装中的优化解决方案 OPTIMUM PACKAGING SOLUTIONS FOR 2.5D/3D IC MANUFACTURING Moore s Law will continue for the next decade, but may face challenge below the 28nm node due to three critical limitations which included materials, device physics and lithography. This uncertainty around the extendibility of Moore s Law provided huge opportunity and challenge for 2.5D/3D silicon & package integration. 2.5D/3D package integration is generally facing challenges from three aspects: 1. Pressure on 2.5D/3D integration cost, yield and cycle time 2. TSV process integration, wafer thinning & die stacking D IC is using Si interposer which is expensive, alternative solutions are needed for explorations Over last 6-7years, global efforts are intensively pursued for above challenges, and not yet fully optimized and solved. This presentation reviews the latest development in 2.5D/3D packaging, and discusses in detail for the optimum packaging solutions for 2.5D/3D packages and alternatives. Finally some works are introduced for NCAP current development works on TSV process and interposers.

5 OUTLINE -. Moore s Law: Termination of Moore s Law? -. Optimum Selection of Packaging Integration Technology -. Development of 2.5D/3D Packaging Technology -. Low cost alternatives of 2.5D/3D -. Packaging solutions and implementation D/3D Development Updates at NCAP -. Summary

6 Cost ($) Memory Bandwith TERMINATION OF MOORE S LAW? Gordon Moore, co-founder of Intel, observed that the number of Transistors per square inch on integrated circuits had doubled every year since the integrated circuit was invented. Moore predicted that this trend would continue for the foreseeable future. $1 $0.1 $0.01 Price per Transistor source: Lam research, GFS 2014 DDR667 DDR800 DDR1066 $0.001 $ $ DDR333 DDR533 source: Lam research, GFS 2014 $ DDR400 Year DDR226 DRAM Device Speed

7 LIMITATION OF MOORE S LAW Cost drives need for increased functionality Cu 0.05micron 0.1micron Material limitation Device physics limitation Lithography limitation Moore s Law drives faster, smaller, less expensive IC

8 PACKAGING FACES GROWING OPPORTUNITY &CHALLENGE 3C Market Computer (CAGR:2.8%) (US$136B,2013) Communication (CAGR:4.8%) (US$81B,2013) Consumer (CAGR:37.5%) (US$29B) Packaging Trend 2D SoC (QFN, FBGA, fcbga, ewlb, WLCSP) 3D SIP (PoP, PiP, FBGA, module) 3D IC (TSV, HMC, IPD, ewlp, PoP-TSV) Implication to OSAT Packaging cost, yield & cycle time Wafer thinning, handling &stacking Silicon interface know how &IP 2.5D/2.1D/organic interposer with balanced cost, yield & cycle time

9 ADVANTAGE OF 3D PACKAGING PoP vs TSV Sources: Samsung and Nomura estimates 3D IC has better form factor, performance, and integration

10 3D MARKET FOCUS

11 SELECTIVE PACKAGING SOLUTIONS Application I/O Density (X-Y plane) requirement (L/S pitch, um) I/O Density (Z) (# via/mm 2 ) Integration Technology Solution FPGA (Si partitioning &synthesis) Logic + DRAM integration/si Partitioning Si Partitioning /Discrete function integration 0.5-2um 1X10 3-1X10 4 TSV 2-5um 2X10 2-1X10 3 LC TSV/WL Fan-out 4-10um 1X10 2-6X10 2 WL Fan-out TSV, Fan-out WLP, and laminate packaging technologies are needed to meet I/O densities requirements.

12 2.5D VS FOWLP Packaging Structure Density Form Factor Cost infrastructure Product applications Si TSV based 2.5D/3D FPGA, HPC, GPU/CPUmemory Fan-out/eWLB based 2.5D/3D Mobile, Tablet (AP/memory, AP Partitioning) 12

13 OPTIMUM PACKAGING SOLUTIONS I/O Count > Si Interposer FCCSP (no interposer) Fanout Total Silicon Die Area (mm 2 ) >700

14 TSV ECOSYSTEM WITH FOUNDRY & OSAT PARTNERSHIP Foundries EDA &IP partners TSV Fab TSV MEOL &BEOL Packaging Assembly Test design Test Dev (DtF) Reticle sets Wafer Fab Bump Probe Thin& bonding Assy & test Design Partners OSAT Partners Customer Benefits: 1. open, flexible, cost effective supply chain 2. Aligned with customer s preferred partners 3. Active co-development to ensure smooth volume ramp

15 3D TSV MANUFACTURING MODEL Foundries OSA Product Product photos TSMC Xilinx/Altera/Ibiden/Amkor/TSMC; 2.5D supply chain Altera 2.5D FPGA; TSMC CoWoS UMC GF UMC and Stats ChipPAC (SCP) announced TSV-enabled 3D IC chip stack consisting a wide I/O, 300mm mid-end processing & low volume manufacturing GF, Amkor & open silicon, 2 ARM die with a high density silicon interposer (2014, 22/20nm) The 3D chip stack, a wide I/O memory test chip stacked upon TSV embedded 28nm processor test chip 2.5D ARM core product Demo SMIC 40nm production in 2014, 28nm R&D& qualification (Q3, 2014) SMIC's Center for Vision, Sensors and 3DIC (CVS3D).

16 FIRST COMMERCIAL 3D CHIP MAKING CAPABILITY IBM used 32nm, high k metal gate process technology HMC Advantage: time faster than DDR SDRAM (128GB/s); 2. Required 70% less energy to transfer data; 3. Offering a small form factor just 10% of footprint of conventional memory

17 AVAILABLE TSV IN CIS PRODUCT 17

18 NCAP S VALUE PROPOSITION 江阴长电 通富 微电 中科院微电子所 深南电路 天水华天 晶方 Major share holders 华进 (NCAP) 9 investors Including the largest packaging companies and substrate suppliers in China, and the Institute of Microelectronics, Chinese Academy of Sciences Independent business entity NCAP first registrar in 29 Sept., 2012 It is a National Centre for Advance Packaging; work as driving leaders for National Projects Expected impact in TSV, WLCSP, Flip Chip, 2.5D and 3D IC Integrations; Critical R&D and product commercialization partnership NCAP Confidential & Proprietary

19 NCAP LOCATION Wuxi Headquarters 2000m 2 Cleanroom Class 10/100/1,000/10,000 8 /12 wafer Beijing Center Beijing, PR China Wuxi Headquarters PR China 1000m 2 Cleanroom Class 1,000/10,000 NCAP Confidential & Proprietary

20 R&D, COMMERCIALIZATION AND SERVICE Innovate R&D, IP Incubate Influence Transfer, Roadmap, incubation, Standards commercialization Impact Eco-system, Supply Chain TSV & Wafer Level Process Line (8 /12 ) Advanced Substrate Fabrication Line Focus Areas SIP design & simulation 2.5D/3D IC Integration Design & Simulation Platform NCAP Platform Packaging Assembly Line High density WLP RF & Opto-electronics Test technology Test Platform Reliability/FA Labs Reliability & FA Materials & equipment technology Industrialization 20 NCAP Confidential & Proprietary

21 NCAP TEAM Team 110 people (Wuxi + Beijing); >60% with MS/Ph.D. degrees - Target people in 2014 Most team members have working experience with large international and domestic enterprises and institutes Collaborative Innovation Platform for Virtual IDM & Supply Chain Materials & Equipment System House IC Design Foundry OSAT 21

22 NCAP PROCESS MODULE Platform Process Modules Litho. Etch Thin Film Wet Wafer Preparation Bonding Encapsulation Backend Coating DRIE CVD Wafer Cleaner Wafer Bonding Die Bond Plasma Ball Attach Stepper PVD ECD De-bonding Wire Bond Underfill Marking Developer UBM Sputter CMP Tapping Flip Chip Attach Molding FOL (Front of Line) Process Module Wafer Etcher Grinder/ Polisher Mechanical Saw Reflow Deflux EOL (End of Line) Process Module NCAP Confidential & Proprietary 22

23 Device & Application Capability NCAP CAPABILITY Design & Simulation 2.5D/3D (TSV) Integration Technology Assembly Technology System Integration Package design (including 2.5D/3D) Substrate design SI, PI, RF, EMI & EMC Thermal/ mechanical codesign 2.5D/3D integration for high performance system 200/300mm wafer BSI CIS, high performance computing, networking Wafer level package 40um pitch Cu pillar bumping assembly 40N Low K chip wire bonding Multi chip stacking Advanced substrate Testing RF package with Si interposer mmw communication High speed optoelectronics device MEMS/Sensor Package design C2C stack L/S=15/15um substrate OE module 4 10 Gbps QSFP AOC Thermal distribution Cu filled TSV TSV with 2 layers RDL Low K Cu wire bonding OE module 2.5D integration Eye diagram NCAP Confidential & Proprietary RF/mmW interconnection 23

24 NCAP SERVICE TSV manufacturing Wafer Thinning pocess, equipment & materials:lit ho, etch, Oxide liner, CuBS, ECD, C MP, Patterning, E test. TBDB Material & process optimization Cu Cu Diffusion Bonding micro Cu Pillar (30-60um; design/ manufacturing/ bonding) underfill (film type) FC+WB technologies evaluation of Cu wire bonding low k TCB, BOT and FC+WB proce ss development & optimization Reliability Test Requirement Samples Test Electrical 22# TC Precon. to JESD22 A113 D MSL 3, 1000 cycles 40 C to +125 C 22# Unbiased HAST - Precon. to JESD22 A113 D MSL 3, 130 C 85%, 96 hours 22# High Temperature storage to JESD22- A103-B Condition A: +125 C, 1000 hours TBD Electromigration Testing at maximum current density and temperature for up to 2000 hours Electrical test before & after Electrical test before & after Measure resistances before, at 100, 200, 500, 750, 1000 hours Continuous monitoring C2W/C2C/W2W Pre-applied underfill, Surface cleaning Low temp/fine pitch/high density bonding Substrate design & PCB Manufacturin g BOL, EPP substrate development - 6-9ppm/C 和 3-4ppm/C); - high density TSV and TSI (design & manufacturing) NCAP Confidential & Proprietary

25 2.5D INTERPOSER UPDATES Compatibility of TSV lithography and Bosch process; 20x200um ~3x100um TSV etching; High aspect ratio TSV clean; High step coverage PECVD process; High step coverage PVD process; TSV overburden and backside reveal solution; Dual sides process solution; 2.5DIC assembly; Interposer cross section TSV backside pickup TSV cross section TSV front side pickup

26 NCAP EQUIPMENT CONSORTIUM 建立 1-1 合作模式 ( 阶段 1) 推动设备改进达到封装主流要求 建立合作联盟 ( 阶段 2) 推动设备国产化及进入国际市场 (1:10, 及性价比 ) Local Equipment & Material Suppliers NCAP Total Solution Platform Customer: Foundry IDM and OSAT 设备及材料评估及验证 ; 与设备厂商合作提出改进措施 ; 在实施改进措施后 ; 进行再评估, 验证 通过华进平台向市场宣传 在华进进行试运行, 展示及推广 推动国产化设备进入国内及国际市场 与 Foundry 及 IDM, OSAT 合作共同评估及验证 ( 通过专项 ), 及验收和购买设备

27 SUMMARY 1. Moore s Law still drives economic value of semiconductor, which is performance per cost D/3D packaging integration is an extension of Moore s Law, the opportunity and challenge are co-existing. 3. Innovative and cost effective solutions are dominated in 2.5D/3D FO-WLP/eWLB 4. Design, Foundry and OSAT are working very closely and building cost effective partnership 5. Optimum packaging solutions are focusing on fan-out 2.5D, ewlb SIP and 3D integration

28 Thank YOU

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

P.1

P.1 P.1 P.2 1. 2. IC 3. 4. IC 5. P.3 (Interconnection).. P.4 (Wafer) (Chip) (MCM) P.5 電子構裝之主要功能 電源供應層 1.有效供應電源 信號分佈層 2.提供信號傳輸 協助散熱 保護元件 3.協助排除耗熱 4.保護電子組件 5.建構人機介面 Images 3D Graphics 建構人機介面 P.6 DIP Dual In-Line

More information

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉

(1) 集 成 电 路 市 场 发 展 前 景 良 好 集 成 电 路 行 业 作 为 信 息 产 业 的 基 础 和 核 心, 是 关 系 国 民 经 济 和 社 会 发 展 全 局 的 基 础 性 先 导 性 和 战 略 性 产 业, 对 于 调 整 产 业 政 策 转 变 发 展 方 式 拉 江 苏 长 电 科 技 股 份 有 限 公 司 2013 年 度 非 公 开 发 行 A 股 股 票 募 集 资 金 使 用 的 可 行 性 分 析 报 告 为 了 进 一 步 提 升 江 苏 长 电 科 技 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 主 营 业 务 盈 利 能 力, 优 化 公 司 产 品 结 构, 改 善 公 司 财 务 状 况, 公 司 拟 向 不 超 过 10

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF Mina MBA91. 91 6 Abstract This research describes the value chain development of Taiwan foundry industry s investment in China and analyzes how the foundry firm establishes its value chain and strategy

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

股份有限公司

股份有限公司 公 司 代 码 :600584 公 司 简 称 : 长 电 科 技 江 苏 长 电 科 技 股 份 有 限 公 司 2014 年 年 度 报 告 重 要 提 示 一 本 公 司 董 事 会 监 事 会 及 董 事 监 事 高 级 管 理 人 员 保 证 年 度 报 告 内 容 的 真 实 准 确 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 承 担 个 别 和

More information

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F (Activity-Based Costing) (Activity-Based Budgeting) (Activity-Base Management) (Advanced Planning and Scheduling) Application Service Provider (Available To Promise) (Bill Of Material) (Business Process

More information

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代 Chinese oil import policies and reforms SINOPEC EDRI 2014.8 Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化,

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

mm 5 1 Tab 1 Chemical composition of PSB830 finishing rolled rebars % C Si Mn P S V 0 38 ~ 1 50 ~ 0 80 ~ ~

mm 5 1 Tab 1 Chemical composition of PSB830 finishing rolled rebars % C Si Mn P S V 0 38 ~ 1 50 ~ 0 80 ~ ~ PSB830 365000 32 mm PSB830 PSB830 TG 335 64 A Productive Practition of PSB830 Finishing Rolled Rebars PAN Jianzhou Bar Steel Rolling Minguang Co Ltd of Fujian Sansteel Sanming 365000 China Abstract High

More information

PowerPoint 簡報

PowerPoint 簡報 http://www.giga-solution.com 97 10 2 2 3 3 89 3 4 9.67 298 ( 97.9.30 ) 6 7 6 5-7 3,149 RFIC( IC) SiP( ) SoC( ) (WLAN) (TV Tuner) 4 4 89 3 90 3 93 4 94 8 95 12 96 4 5 8 2007 Fast 50 (Technology Fast 50

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

SPIL (3)579-5678 3671 (4)2534-1525 1511 123 123 153 8 (4)2534-1525 (4)2534-1525 (4)2534-1525 (4)721-8

SPIL (3)579-5678 3671 (4)2534-1525 1511 123 123 153 8 (4)2534-1525 (4)2534-1525 (4)2534-1525 (4)721-8 2325 http://mops.twse.com.tw http://www.spil.com.tw SPIL (3)579-5678 3671 E-mailbyronc@spil.com.tw (4)2534-1525 1511 E-mailchien@spil.com.tw 123 123 153 8 (4)2534-1525 (4)2534-1525 (4)2534-1525 (4)721-8888

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Microsoft Word - 103-4 記錄附件

Microsoft Word - 103-4 記錄附件 國 立 虎 尾 技 大 103 年 度 第 4 次 教 務 會 議 記 錄 附 件 中 華 民 國 104 年 6 月 16 日 受 文 者 : 國 立 虎 尾 技 大 發 文 日 期 : 中 華 民 國 104 年 5 月 28 日 發 文 字 號 : 臺 教 技 ( 二 ) 字 第 1040058590 號 速 別 : 最 速 件 密 等 及 解 密 條 件 或 保 密 期 限 : 附 件 :

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

行動電話面板產業

行動電話面板產業 TFT-LCD IC LCD TV Monitor TFT LCD IC 2005 Samsung IC - IC LCD TV LCD monitor LCD TV 2004~2007 69% LCD Monitor 2004~2007 18% IC 2004 ~2009 16.26% 2004 ~2009 10.39% ASP (Fine Pitch) IC Pin IC IC IC TCP COF

More information

Microsoft Word - bxyj2007_01_zongdi225.doc

Microsoft Word - bxyj2007_01_zongdi225.doc 以 科 学 发 展 观 为 统 领 深 入 贯 彻 落 实 国 务 院 23 号 文 件 全 面 提 高 保 险 业 服 务 社 会 主 义 和 谐 社 会 的 能 力 吴 定 富 ( 中 国 保 险 监 督 管 理 委 员 会, 北 京 100032) [ 摘 要 ]2006 年, 我 国 保 险 业 社 会 地 位 稳 步 提 高, 国 际 影 响 力 不 断 扩 大, 发 展 环 境 日 益

More information

我國IC封裝設備技術現況及展 [唯讀]

我國IC封裝設備技術現況及展 [唯讀] IC : ( ) TEL03-5639999 ext 102 E-Mail: jclin@mail.kingbond.com.tw http://www.kingbond.com.tw IC IC Standard Assembly Process Taping Grinding Detaping Wafer Mount Curing Die Saw Die Bond Cure Wire Bond

More information

% % % % % % % % : 11. 9: 12. 8:

% % % % % % % % : 11. 9: 12. 8: Regional Economy [ ] [ ] [ ] F127 [ ] A [ ] 1006-5024 (2012 )04-0126 - 06 [ ] 2010 10JL10 [ ] ( 330077 ) 361005 Abstract Since the inception of the reform and opening up policy in 1978 the industry structure

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Microsoft Word - Lenovo_L15M3PB2 (Winbook)_3S1P_UN38.3 Test Report_SLEU

Microsoft Word - Lenovo_L15M3PB2 (Winbook)_3S1P_UN38.3 Test Report_SLEU Lithium-ion Battery UN38.3 Test Report Recommendations on the TRANSPORT OF DANGEROUS GOODS (Manual of Tests and Criteria, Fifth revised edition, Amend.2) Customer: Lenovo Model: L15M3PB2 Rating: 11.25V,

More information

TAITRA SEMI TPVIA ITRI 10 20 7 6 10 21 7 8 10 22 10 24 ~ 9 5 10 24 5 7 10 25 10 6 1. 12 150 2. 3. AD 5 5 / HCPV DSSCBIPV / 1 1. 2. 1. 2. 3. 4. 5. 6. 7. 8. 2014 5 20 3 3 NT$ 89,000 NT$ 66,000 3 3 NT$ 104,000

More information

%

% 38 1 2014 1 Vol. 38No. 1 January 2014 51 Population Research 2010 2010 2010 65 100028 Changing Lineal Families with Three Generations An Analysis of the 2010 Census Data Wang Yuesheng Abstract In contemporary

More information

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig 40 6 2011 6 Vol.40 No.6 Infrared and Laser Engineering Jun. 2011 808 nm 2000 W 1 1 1 1 2 2 2 2 2 12 (1. 710119 2. 710119) : bar 808 nm bar 100 W 808 nm 20 bar 2 000 W bar LIV bar 808 nm : : TN248.4 TN365

More information

無投影片標題

無投影片標題 LED 亮度 LED 呂 e-mail: cclu@epistar.com.tw Outline FPD Current Status LED Used in FPD BLU Status LED Efficiency Improve and Cost Down Summary Outline FPD Current Status LED Used in FPD BLU Status LED Efficiency

More information

24-2_cover_OK

24-2_cover_OK 2 08 Flexible All Solid State Thin Film Li-ion Battery 1 1 2 1 1 2 ( 300Wh/kg) (3.4~3.8 V) ( 1000 cycles) (LiCoO 2 ) (LiPON) (Mica) 26mAhcm -2 mm -1 30 85% LED Abstract With the ever-changing technology,

More information

ERP-1

ERP-1 IEEM 3041 ERP Enterprise Resource Planning ERP The Chain from Original Supply to Final Consumption INFORMATION FLOW Transfer Transfer Transfer Transfer Supplier Manufacturing Distribution Retail Outlet

More information

Multi-national Company Operation and Public...

Multi-national Company Operation and Public... Multi-national company operation and Information platform 跨 国 企 业 运 营 模 对 公 共 信 息 平 台 的 需 求 1 运 营 管 理 的 范 围 : 运 营 战 略 运 营 结 构 框 架 企 业 核 心 竞 争 力 和 挑 战 运 营 绩 效 评 估 及 市 场 标 竿 分 析 总 计 划 流 程 总 产 量 计 划 设 计 销

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

plogi论坛

plogi论坛 P-Logi Conference 2015 2015 医 药 流 通 论 坛 2015 年 6 月 24 日 I 上 海 新 国 际 博 览 中 心 (SNIEC) 邀 请 函 INVITATION www.p-logi.com 论 坛 背 景 我 国 医 药 市 场 正 在 经 历 着 快 速 的 发 展 2011 年 已 经 超 过 日 本, 成 为 第 二 大 医 药 产 业 国 专 家 指

More information

学 校 编 码 :10384 分 类 号 密 级 学 号 :X2007155130 UDC 厦 门 怡 福 养 生 健 康 管 理 有 限 公 司 创 业 计 划 王 韬 指 导 教 师 姓 名 : 郭 霖 教 授 厦 门 大 学 硕 士 学 位 论 文 厦 门 怡 福 养 生 健 康 管 理 有 限 公 司 创 业 计 划 A Business Plan for Xiamen Eve Health

More information

Microsoft PowerPoint _Safety_CAERI.ppt [互換モード]

Microsoft PowerPoint _Safety_CAERI.ppt [互換モード] New Crash Test Facility in CAERI Vehicle Safety Technology Research Center 2013.11 1 A B About Us 关于我们 Research 相关研究 History Vehicle Safety Technology Research Center 2003 Vehicle safety test division

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

D A

D A 2015 4 D822.333 A 0452 8832 2015 4 0014-12 14 The Second ASEAN Regional Forum: The ASEAN Regional Forum, A Concept Paper, in ASEAN Regional Forum Documents Series 1994-2006, ASEAN Secretariat, Jakarta,

More information

WTO

WTO 10384 X0115018 UDC MBA 2004 5 14 2004 6 1 WTO 2004 2006 7 2 Abstract According to the promise after our country enter into WTO, our country will open the readymade oil retail market in the end of 2004

More information

by industrial structure evolution from 1952 to 2007 and its influence effect was first acceleration and then deceleration second the effects of indust

by industrial structure evolution from 1952 to 2007 and its influence effect was first acceleration and then deceleration second the effects of indust 2011 2 1 1 2 3 4 1. 100101 2. 100124 3. 100039 4. 650092 - - - 3 GDP U 20-30 60% 10% TK01 A 1002-9753 2011 02-0042 - 10 Analysis on Character and Potential of Energy Saving and Carbon Reducing by Structure

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Microsoft Word - Lenovo_L14M4P23 (Y51)_4S1P_UN38.3 Test Report_SLEU

Microsoft Word - Lenovo_L14M4P23 (Y51)_4S1P_UN38.3 Test Report_SLEU Lithium-ion Battery UN38.3 Test Report Recommendations on the TRANSPORT OF DANGEROUS GOODS (Manual of Tests and Criteria, Fifth revised edition, Amend 1) Customer: Lenovo Model: L14M4P23 Rating: 14.8V,

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Shanghai International Studies University A STUDY ON SYNERGY BUYING PRACTICE IN ABC COMPANY A Thesis Submitted to the Graduate School and MBA Center I

Shanghai International Studies University A STUDY ON SYNERGY BUYING PRACTICE IN ABC COMPANY A Thesis Submitted to the Graduate School and MBA Center I 上 海 外 国 语 大 学 工 商 管 理 硕 士 学 位 论 文 ABC 中 国 食 品 公 司 的 整 合 采 购 研 究 学 科 专 业 : 工 商 管 理 硕 士 (MBA) 作 者 姓 名 :0113700719 指 导 教 师 : 答 辩 日 期 : 2013 年 12 月 上 海 外 国 语 大 学 二 一 四 年 一 月 Shanghai International Studies

More information

执 行 主 席 : 刘 德 明 ( 华 中 科 技 大 学 ) 唐 雄 燕 ( 中 国 联 通 ) 纪 越 峰 ( 北 京 邮 电 大 学 ) 李 晗 ( 中 国 移 动 ) 娄 淑 琴 ( 北 京 交 通 大 学 ) 靳 伟 ( 香 港 理 工 大 学 ) 苑 立 波 ( 哈 尔 滨 工 程 大

执 行 主 席 : 刘 德 明 ( 华 中 科 技 大 学 ) 唐 雄 燕 ( 中 国 联 通 ) 纪 越 峰 ( 北 京 邮 电 大 学 ) 李 晗 ( 中 国 移 动 ) 娄 淑 琴 ( 北 京 交 通 大 学 ) 靳 伟 ( 香 港 理 工 大 学 ) 苑 立 波 ( 哈 尔 滨 工 程 大 纪 念 光 纤 发 明 50 周 年 大 会 大 会 注 册 官 网 http://www.csoe.org.cn/ofc50/ 时 间 :2016 年 5 月 8-11 日 (5 月 8 日 全 天 报 到 参 会 布 展 ) 地 点 : 北 京 国 家 会 议 中 心 ( 近 鸟 巢 ) 三 层 311 会 议 室 2016 年 恰 逢 光 纤 发 明 50 周 年, 中 国 光 学 工 程 学

More information

untitled

untitled Bussmann 电路保护解决方案 Circuit Protection Solutions General Introduction Bussmann... innovative circuit protection Circuit Overload...Electrical and electronic systems can experience it. And so can people.

More information

R&D % % 92.27% 1.33% 3.54% % 7.47%

R&D % % 92.27% 1.33% 3.54% % 7.47% 2012 7 13 4 F279.2 The Journal of Shanghai Administration Institute :A :1009-3176(2012)04-085-(13) Jul., 2012 Vol. 13, No4 * 200050,,, : ; ; ; * 2011 :2012-4-27 : 1975-1976- 85 4 2012 1. R&D 2009 2.87%

More information

报 告 1: 郑 斌 教 授, 美 国 俄 克 拉 荷 马 大 学 医 学 图 像 特 征 分 析 与 癌 症 风 险 评 估 方 法 摘 要 : 准 确 的 评 估 癌 症 近 期 发 病 风 险 和 预 后 或 者 治 疗 效 果 是 发 展 和 建 立 精 准 医 学 的 一 个 重 要 前

报 告 1: 郑 斌 教 授, 美 国 俄 克 拉 荷 马 大 学 医 学 图 像 特 征 分 析 与 癌 症 风 险 评 估 方 法 摘 要 : 准 确 的 评 估 癌 症 近 期 发 病 风 险 和 预 后 或 者 治 疗 效 果 是 发 展 和 建 立 精 准 医 学 的 一 个 重 要 前 东 北 大 学 中 荷 生 物 医 学 与 信 息 工 程 学 院 2016 年 度 生 物 医 学 与 信 息 工 程 论 坛 会 议 时 间 2016 年 6 月 8 日, 星 期 三,9:30 至 16:00 会 议 地 址 会 议 网 址 主 办 单 位 东 北 大 学 浑 南 校 区 沈 阳 市 浑 南 区 创 新 路 195 号 生 命 科 学 大 楼 B 座 619 报 告 厅 http://www.bmie.neu.edu.cn

More information

Improving the Effectiveness of the Training of Civil Service by Applying Learning Science and Technology: The Case Study of the National Academy of Ci

Improving the Effectiveness of the Training of Civil Service by Applying Learning Science and Technology: The Case Study of the National Academy of Ci 善 用 學 習 科 技 提 升 公 務 人 員 培 訓 之 效 能 : 以 國 家 文 官 學 院 學 習 科 技 之 建 構 與 運 用 為 例 蔡 璧 煌 鍾 廣 翰 摘 要 公 務 人 員 的 素 質 代 表 一 國 國 力, 除 攸 關 國 家 施 政 外, 也 影 響 國 家 整 體 之 發 展, 因 此 如 何 善 用 學 習 科 技 協 助 公 務 人 員 培 訓 與 管 理, 未 來

More information

MACRO ECONOMY AND MICRO OPERATION 2016 ( 30%~50% ; 1 ) ; : ? 80 : 1993 ; ; ( ) ; 1. ;2014 ( 1 ) ( ) 105

MACRO ECONOMY AND MICRO OPERATION 2016 ( 30%~50% ; 1 ) ; : ? 80 : 1993 ; ; ( ) ; 1. ;2014 ( 1 ) ( ) 105 MACRO ECONOMY AND MICRO OPERATION * The Basic Connotation and Policy Suggestions on the Structural Reform of Agricultural Supply Side : ; 100872 Kong Xiangzhi Abstract: The field of agriculture of supply

More information

<B7E2C3E6B7E2B5D72E6169>

<B7E2C3E6B7E2B5D72E6169> AS-INTERFACE AS-INTERFACE PROVEN IN USE AS-INTERFACE AS-INTERFACE System configuration and product overview AS-Interface AS-Interface AS-Interface AS-Interface Safety AS-Interface IEC61508 EN954-1 TUV

More information

Interactive Technology Overview Interactive Technology Overview Out-Cell (Add On) On-Cell In-Cell Hybrid

Interactive Technology Overview Interactive Technology Overview Out-Cell (Add On) On-Cell In-Cell Hybrid Date : 20130531 Time : 13:30~15:00 Speaker : (Chen-Pang Kung) Cellular : +886-920-148235 Email : eric_kung.tw@yahoo.com.tw 1 Interactive Technology Overview Interactive Technology Overview Out-Cell (Add

More information

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and increases ulteriorly. When the Coca-Cola company came

More information

<4D6963726F736F667420506F776572506F696E74202D20C6F3D2B5BCB0B2FAC6B7BCF2BDE92DD6D0D3A2CEC420C1F5B9FAD3B1205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20C6F3D2B5BCB0B2FAC6B7BCF2BDE92DD6D0D3A2CEC420C1F5B9FAD3B1205BBCE6C8DDC4A3CABD5D> 企 业 及 产 品 简 介 COMPANY & PRODUCTS INTRODUCTION 山 东 泗 水 康 得 新 复 合 材 料 有 限 公 司 SHANDONG SISHUI KANGDE XIN COMPOSITE MATERIAL CO., LTD. 集 团 概 况 KDXGROUP OVERVIEW 1 拥 有 两 大 产 业 群 : 预 涂 膜 和 光 学 膜 1. GROUP HAS

More information

10384 X0115071 UDC The Research For The Actuality And Development Stratagem Of The China Securities Investment Fund (MBA) 2003 11 2003 12 2003 12 2 0 0 3 11 100 1991, WTO Abstract Abstract The Securities

More information

<4D F736F F D20312D3120D5D0B9C9CBB5C3F7CAE95FC9EAB1A8B8E55F2E646F63>

<4D F736F F D20312D3120D5D0B9C9CBB5C3F7CAE95FC9EAB1A8B8E55F2E646F63> 深 圳 市 崇 达 电 路 技 术 股 份 有 限 公 司 SHENZHEN SUNTAK CIRCUIT TECHNOLOGY CO.,LTD. ( 深 圳 市 宝 安 区 沙 井 街 道 新 桥 横 岗 下 工 业 区 新 玉 路 3 栋 三 楼 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 重 庆 市 江 北 区 桥 北 苑

More information

be invested on the desilting of water sources and to paved canals with cement mortar while drinking water project can focus on the improvement of wate

be invested on the desilting of water sources and to paved canals with cement mortar while drinking water project can focus on the improvement of wate 2011 9 100101 101 3 2007 2000 10 F303 A 1002-9753 2011 09-0030 - 11 Trends on Public Infrastructure and Public Investment Priority in Rural China LUO Ren - fu ZHANG Lin - xiu ZHAO Qi - ran HUANG Ji - kun

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

Microsoft Word - 刘藤升答辩修改论文.doc

Microsoft Word - 刘藤升答辩修改论文.doc 武 汉 体 育 学 院 硕 士 学 位 论 文 ( 全 日 制 硕 士 ) 社 会 需 求 视 角 下 武 汉 体 院 乒 乓 球 硕 士 研 究 生 就 业 状 况 研 究 研 究 生 : 刘 藤 升 导 师 : 滕 守 刚 副 教 授 专 业 : 体 育 教 育 训 练 学 研 究 方 向 : 乒 乓 球 教 学 训 练 理 论 与 方 法 2015 年 5 月 分 类 号 : G8 学 校 代

More information

发 展 战 略 油 机 关 机 构 如 何 进 行 调 整, 无 论 是 在 石 油 工 业 部 时 期, 还 是 在 总 公 司 集 团 公 司 时 期, 战 略 和 政 策 研 究 一 直 得 到 领 导 重 视 中 国 石 油 总 部 机 关 始 终 明 确 有 战 略 和 政 策 研 究 归

发 展 战 略 油 机 关 机 构 如 何 进 行 调 整, 无 论 是 在 石 油 工 业 部 时 期, 还 是 在 总 公 司 集 团 公 司 时 期, 战 略 和 政 策 研 究 一 直 得 到 领 导 重 视 中 国 石 油 总 部 机 关 始 终 明 确 有 战 略 和 政 策 研 究 归 加 强 中 国 石 油 战 略 和 政 策 研 究 的 若 干 思 考 1 周 敬 成 1 许 萍 2 杜 一 博 1. 中 国 石 油 天 然 气 集 团 公 司 政 策 研 究 室 ;2. 中 国 石 油 华 北 油 田 公 司 第 一 采 油 厂 摘 要 : 在 现 代 企 业 发 展 和 竞 争 中, 战 略 处 于 核 心 地 位 中 国 石 油 作 为 国 有 骨 干 企 业 和 特 大

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

为 止, 以 集 中 式 光 伏 发 电 系 统 为 主, 其 主 要 原 因 是 我 国 政 策 推 动 方 面 以 国 家 主 导 为 主, 这 种 自 上 而 下 的 政 策 和 运 行 方 式, 更 容 易 迅 速 推 动 集 中 式 光 伏 系 统 的 建 设 集 中 式 光 伏 发 电

为 止, 以 集 中 式 光 伏 发 电 系 统 为 主, 其 主 要 原 因 是 我 国 政 策 推 动 方 面 以 国 家 主 导 为 主, 这 种 自 上 而 下 的 政 策 和 运 行 方 式, 更 容 易 迅 速 推 动 集 中 式 光 伏 系 统 的 建 设 集 中 式 光 伏 发 电 The Distributed Renewable Energy and Smart Micro Grid System * 我 国 分 布 式 光 伏 发 电 的 现 状 与 展 望 1 王 文 静 2 王 斯 成 1 中 国 科 学 院 电 工 研 究 所 北 京 100190 2 国 家 发 展 和 改 革 委 员 会 能 源 研 究 所 北 京 100038 摘 要 文 章 论 述 了 分

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF I II III The Study of Factors to the Failure or Success of Applying to Holding International Sport Games Abstract For years, holding international sport games has been Taiwan s goal and we are on the way

More information

untitled

untitled Latest Laser Procesing Technology Application Latest Industry Application of Laser Processing Technology 150-10-40W Buyers Index of Laser Processing Equipment Industry Application GAP Lasers & Photonics

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0>

<4D6963726F736F667420506F776572506F696E74202D20A5FAB971A562BEC9C5E9BB73B57BB35DB3C6A4B6B2D0> 光 電 半 導 體 製 程 設 備 介 紹 電 子 光 子 能 階 升 授 課 老 師 : 林 彥 勝 博 士 夸 克 原 子 核 E-mail: yslin@mail.cna.edu.tw 能 階 降 Content 潔 淨 室 (Cleaning Room) 薄 膜 沈 積 (Thin Film Deposition) 化 學 汽 相 沉 積 法 MOCVD ( Metal Organic Chemical

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

文化产业语境下的中国流行音乐

文化产业语境下的中国流行音乐 1. 1 2. 4 3. 5 4. 6 1. 2. 3. 4. 1. 2. 21 3. 1. 2. 3. 4. 1. 35 2. 3. 37 1. 2. 3. 46 1 On the Chinese Pop Music within the Context of Culture Industry Keywords: Culture Industry Pop Music Pop Music Industry

More information

161012_sgup_Ansicht

161012_sgup_Ansicht Ministry of Housing and Urban-Rural Development (MoHURD) Implemented by: ABOUT In the light of rising urbanisation rates, climate change and the need for closer cooperation on sustainable city development,

More information

WTO

WTO 10384 200015128 UDC Exploration on Design of CIB s Human Resources System in the New Stage (MBA) 2004 2004 2 3 2004 3 2 0 0 4 2 WTO Abstract Abstract With the rapid development of the high and new technique

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

= = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ

= = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ ( ) ( ) ( ) ( ) ( ) e - + Ar Ar + + 2 e - (1) = = F d ( ) = q ε λ q ε λ q e - + Ar + Ar + hν (2) - - ( ) (Degree of Ionization) 0.1% 100% PECVD 1% PECVD (2) e - + Ar Ar + hν (3) Ar* 1 torr (q ε λ i ) (q

More information

untitled

untitled Tianshui Huatian Technology Co., Ltd. 14 1012 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 1 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 2 2006 12 31 103,333,261.89

More information

微软用户

微软用户 本期导航 按下 CTRL 并点击左侧及下列标题即可选读 学院之窗 专题 实验教学研讨会 教育思想大讨论 教学研究 电子商务平台 教学工作 P37 工程学院实验实践暨机械工 会 设立朝语考点 程系 03 年教学工作会议 P 大学生创新活动 高教参考 P54 03 地平线报告信息技术挑战催 生高等教育变革 P54 03 地平线报告信息技术挑 战催生高等教育变革 主 编 张宗恩 副 主 编 王凤林 编 P

More information

毅嘉电子(苏州)有限公司

毅嘉电子(苏州)有限公司 361022 0592-65167777 IT IT ERP 5 100176 01067878899 01067874431 022-25328282 022-25328301 300457 1987 1992 15 5 300457 022-66298726 022-66298727 1995 2 27 50% CDMA Beijing Jones Introduction Beijing

More information

中国水泥窑协同处置概况

中国水泥窑协同处置概况 中 国 水 泥 窑 协 同 处 置 概 况 Overview on Waste Co-processing in Cement Kilns in China 中 国 水 泥 协 会 孔 祥 忠 KONG Xiangzhong China Cement Association 一 中 国 水 泥 产 能 情 况 Cement Production in China 截 至 2012 年 6 月 底,

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis Tyres Assembly Systems Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satisfy Customers worldwide. Our

More information

untitled

untitled 1.1 1.2 1.3 1.4 1.5 1.6 1.7 Technology of Management 1990 1970 Engineering Business 1987 Problem-Driven 2 01 1970 1.1 Industrial Revolution 1970 3 Domestic Industry Cottage Industry O'Hara, September,

More information

40 COMMEMORATING THE FORTIETH ANNIVERSARY OF REFORM AND OPENING UP ( ) ( ) 20 30 [1] : 2015 1 1 36

40 COMMEMORATING THE FORTIETH ANNIVERSARY OF REFORM AND OPENING UP ( ) ( ) 20 30 [1] : 2015 1 1 36 40 To Judge Key Areas of Reform Node: Supply Side and Demand Side * 40 : 1978~1992 ; 1992~2012 ; 2012 40 % Since the reform and opening up the evolution of supply side and demand side reform policy can

More information

Microsoft Word - 荆红卫 板.doc

Microsoft Word - 荆红卫 板.doc 中 国 环 境 科 学 213,33(2):319~327 China Environmental Science 北 京 北 运 河 水 系 水 质 污 染 特 征 及 污 染 来 源 分 析 荆 红 卫 1*, 张 志 刚 2 1, 郭 婧 (1. 北 京 市 环 境 保 护 监 测 中 心, 北 京 148;2. 核 与 辐 射 安 全 中 心, 北 京 182) 摘 要 : 以 北 运 河

More information

Win customers confidence and create the high-tech future together SPIL (3) (4)

Win customers confidence and create the high-tech future together SPIL (3) (4) 股票代號 2325 贏得客戶信賴 共創科技未來 刊印日期 一〇一年三月三十一日 查詢網址 http://mops.twse.com.tw 公司網址 http://www.spil.com.tw 中華民國一〇〇年年報 Win customers confidence and create the high-tech future together SPIL (3)579-5678 3671 E-mailbyronc@spil.com.tw

More information

20081421002 ) 2012 5 5 1993 7 15 600 18 12 18 18 18 1 Title Empirical Analysis to Operating Performance of Mainland Companies Listed in Hong Kong Abstract With the deepening reform of development, more

More information

PowerPoint Presentation

PowerPoint Presentation Equity Financing for Early-Stage Companies in China Ning Jia School of Economics and Management Tsinghua University CARE Conference Understanding China s Capital Markets June 2014 1 Development of China

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

理化视窗19期内文.indd

理化视窗19期内文.indd 首 语 在 送 别 2012 年 迈 进 2013 年 之 际, 谨 代 表 所 领 导 班 子 向 兢 兢 业 业 辛 勤 耕 耘 的 全 所 职 工, 向 为 研 究 所 发 展 做 出 重 要 贡 献 的 离 退 休 老 同 志, 向 潜 心 钻 研 刻 苦 学 习 的 研 究 生 致 以 节 日 的 问 候 和 诚 挚 的 谢 意! 感 谢 大 家 过 去 一 年 在 各 自 的 工 作 岗

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

bingdian001.com

bingdian001.com .,,.,!, ( ), : r=0, g=0, ( ). Ok,,,,,.,,. (stackup) stackup, 8 (4 power/ground 4,sggssggs, L1, L2 L8) L1,L4,L5,L8 , Oz Oz Oz( )=28.3 g( ), 1Oz, (DK) Cx Co = Cx/Co = - Prepreg/Core pp,,core pp,, pp.,, :,,

More information

(1) ( 1965 ),, 1952 [9] 2.1 (2) 1 53 (E i ), 2 (P i ) (G E (G P, 31 (Q i ) 3, : G E (x,y)= (E i Q(x i, y i )) E i G P (x,y)=

(1) ( 1965 ),, 1952 [9] 2.1 (2) 1 53 (E i ), 2 (P i ) (G E (G P, 31 (Q i ) 3, : G E (x,y)= (E i Q(x i, y i )) E i G P (x,y)= 29 1 2010 01 PROGRESS IN GEOGRAPHY Vol.29, No.1 Jan., 2010 1 1,2 1,2 (1., 100101; 2., 100049) :, 1952 2005 53,, U, 1952, : ; ; ; ; 1 [8] 50,, 20 50, 53,,,, 3 : 30, GDP (1) 1975 42% 2005 56%, : 34% 36%,

More information

TestNian

TestNian Fatigue Damage Mechanism in Very High Cycle Regime Nian Zhou 2012.5.24 Fatigue Low cycle fatigue N f 10 5 cycles Strain-controlled fatigue High cycle fatigue N f f 10 5 cycles Stress-based fatigue Endurance

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi R&D of a Large Format Hybrid Photo-Detector (HPD) for a Next Generation Water Cherenkov Detector Tokyo - HPK joint R&D program H.Aihara University of Tokyo HPK =Hamamatsu Photonics 1 presented at Next

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information