Chapter 1

Size: px
Start display at page:

Download "Chapter 1"

Transcription

1 8 位 OTP ROM 微控制器 产品规格书 版本 1.5 义隆电子股份有限公司

2 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任 义隆电子股份有限公司不承诺对本使用说明文件之内容及信息有更新及校正之义务 本规格书的内容及信息将为符合确认之指示而变更 在任何情况下, 义隆电子股份有限公司对本使用说明文件中的信息或内容的错误 遗漏, 或者其它不准确性不承担任何责任 由于使用本使用说明文件中的信息或内容而导致的直接, 间接, 特别附随的或结果的损害, 义隆电子股份有限公司没有义务负责 本规格书中提到的软件 ( 如果有 ), 都是依据授权或保密合约所合法提供的, 并且只能在这些合约的许可条件下使用或者复制 义隆电子股份有限公司的产品不是专门设计来应用于生命维持的用具, 装置或者系统 义隆电子股份有限公司的产品不支持而且禁止在这些方面的应用 未经义隆电子股份有限公司书面同意, 任何个人或公司不得以任何形式或方式对本使用说明文件的内容之任一部分进行复制或传输 义隆电子股份有限公司 总公司 : 地址 : 台湾新竹科学园区创新一路 12 号电话 : 传真 : webmaster@emc.com.tw 香港分公司 : 义隆电子 ( 香港 ) 有限公司九龙观塘巧明街 95 号世达中心 19 楼 A 室电话 : 传真 : elanhk@emc.com.hk USA: Elan Information Technology Group (USA) P.O. Box 601 Cupertino, CA USA Tel: Fax: 深圳分公司 : 义隆电子 ( 深圳 ) 有限公司深圳市南山区高新技术产业园南区高新南六道迈科龙大厦 8A 邮编 : 电话 : 传真 : elan-sz@elanic.com.cn 上海分公司 : 义隆电子 ( 上海 ) 有限公司地址 : 上海市浦东新区张江高科碧波路 5 号科苑大楼 6 楼邮编 : 电话 : 传真 : elan-sh@elanic.com.cn

3 目录 目录 1 综述 功能特性 引脚配置 引脚说明 EM78P259ND14/SO EM78P259NSO16A EM78P259ND18/SO EM78P259ND20/SO20/SS 功能结构图 功能描述 操作寄存器 R0 ( 间接寻址寄存器 ) R1 ( 定时时钟 / 计数器 ) R2 ( 程序计数器 ) 和堆栈 数据存储器结构 R3 ( 状态寄存器 ) R4 (RAM 选择寄存器 ) R5 ~ R6 (Port 5 ~ Port 6) R7 (Port 7) R8 (AISR: ADC 输入选择寄存器 ) R9 (ADCON: ADC 控制寄存器 ) RA (ADOC: ADC 补偿校准寄存器 ) RB (ADDATA: ADC 转换结果 ) RC (ADDATA1H: ADC 转换结果 ) RD (ADDATA1L: ADC 转换结果 ) RE ( 中断状态 2 和唤醒控制寄存器 ) RF ( 中断状态 2 寄存器 ) R10 ~ R3F 特殊功能寄存器 A ( 累加器 ) CONT ( 控制寄存器 ) IOC50 ~ IOC70 (I/O 端口控制寄存器 ) IOC80 ( 比较器和 TCCA 控制寄存器 ) IOC90 (TCCB 和 TCCC 控制寄存器 ) IOCA0 (IR 和 TCCC 分频控制寄存器 ) IOCB0 ( 下拉控制寄存器 ) IOCC0 ( 漏极开路控制寄存器 ) IOCD0 ( 上拉控制寄存器 ) 产品规格书 (V1.5) iii

4 目录 IOCE0 (WDT 控制和中断屏蔽寄存器 2) IOCF0( 中断屏蔽寄存器 ) IOC51 (TCCA 计数器 ) IOC61 (TCCB 计数器 ) IOC71 (TCCBH/MSB 计数器 ) IOC81 (TCCC 计数器 ) IOC91 ( 低电平定时寄存器 ) IOCA1 ( 高电平时间寄存器 ) IOCB1 ( 高 / 低电平定时分频比控制寄存器 ) IOCC1 (TCC 预分频计数器 ) TCC/WDT 和预分频比 I/O 端口 Port 5 输入状态改变唤醒 / 中断功能的使用 复位和唤醒 复位和唤醒操作 唤醒和中断模式操作概述 复位后寄存器的初始值 复位控制器结构图 状态寄存器 (R3) 的 T, P 状态 中断 A/D 转换 (ADC) ADC 控制寄存器 (AISR/R8, ADCON/R9, ADOC/RA) R8 (AISR: ADC 输入选择寄存器 ) R9 (ADCON: ADC 控制寄存器 ) RA (ADOC: AD 补偿校准寄存器 ) ADC 数据寄存器 (ADDATA/RB, ADDATA1H/RC, ADDATA1L/RD) ADC 采样时间 AD 转换时间 休眠期间的 A/D 转换 编程步骤 / 考虑的事项 编程步骤 范例 红外遥控应用 /PWM 波形产生 概述 功能描述 程序相关的寄存器 定时器 / 计数器 概述 功能描述 程序的相关控制寄存器 比较器 56 iv 产品规格书 (V1.5)

5 目录 外部参考信号 比较器输出 用比较器作运算放大器使用 比较器中断 由休眠模式唤醒 振荡器 振荡器模式 晶体振荡器 / 陶瓷谐振器 ( 晶振 ) 外部 RC 振荡器模式 内部 RC 振荡模式 上电的问题 可编程 WDT 溢出周期 外部上电复位电路 残留电压保护 代码选项 代码选项寄存器 (Word 0) 代码选项寄存器 (Word 1) 客户 ID 寄存器 (Word 2) 指令集 65 7 绝对最大值 DC 电气特性 AD 转换特性 比较器 ( 运算放大器 ) 特性 器件特性 71 9 交流电气特性 时序图 附录 A 编码与制造信息 B 封装类型 C 封装形式 C.1 EM78P259ND14 77 C.2 EM78P259NSO14 78 C.3 EM78P259NSO16A 79 C.4 EM78P259ND18 80 C.5 EM78P259NSO18 81 C.6 EM78P259ND20 82 C.7 EM78P259NSO20 83 产品规格书 (V1.5) v

6 目录 C.8 EM78P259NSS20 84 D 品质保证和可靠性 D.1 地址缺陷检测 85 规格版本历史 版本号版本描述日期 1.0 首发行版 2005/06/ 在特性中增加 IRC 漂移率 2006/05/ 特性部分修订了内容和格式 : 图 4-1 EM78P259N/260N 功能方框图, 图 6-2 TCC 和 WDT 方框图, 和图 6-11 IR/PWM 系统方框图 2. 修订了 6.7 节模数转换器 ( ADC) 3. 修订了 节代码选项寄存器 (Word 0) 和 节代码选项寄存器 (Word 1) 4. 增加内部 RC 电气特性 5. 修订了 8.1 节 AD 转换特性, 8.2 节比较器 (OP) 特性和附录 A 的封装型 2007/05/ 增加了 EM78P2581N SOP 16-pin 封装 2007/10/ 增加了 DIP, SOP 14-pin 封装 2. 将 EM78P2581N, EM78P259N, EM78P260N 重命名为 EM78P259N 1. 修改第 2 章的封装类型 2008/01/ 增加用户应用注意事项 3. 修改附件 A 编码与制造信息 2016/03/24 用户应用注意事项 ( 使用此芯片前, 请仔细阅读下面的注意事项, 其包含重要信息 ) 1. 当在休眠模式时, 内部 TCC 将停止运行 然而, 在 AD 转换期间,TCC 设置为 SLEP 指令 如果 RE 寄存器的 ADWE 位使能,TCC 将保持运行 2. ADC 转换期间, 为确保其准确, 所有的引脚将不执行输出指令 为了获取准确的结果,AD 转换期 间, 有必要避免所有 I/O 引脚的数据转换 3. 在 LXT2 和休眠模式, 噪声抑制功能关闭 vi 产品规格书 (V1.5)

7 1 综述 EM78P259N 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 控制器带有 2KX13 位片内一次性可编程只读存储器 (OTP-ROM), 它还提供一个加密位防止程序被读取的 拥有两个代码选项字节来满足用户的需要 EM78P259N 带有增强的 OTP-ROM 特性, 能够为用户开发和校验程序提供便利 而且此 OTP 设备提供了便捷的程序更新, 使用开发和编程工具的优势 用户可以利用义隆烧录器容易地烧写自己的开发代码 2 功能特性 CPU 配置 2K 13 位片内 ROM 80 8 位片内寄存器 (SRAM) 8 级堆栈用于子程序嵌套 5V/4MHz, 耗电流小于 1.9 ma 3V/32kHz, 耗电流典型值为 15 A 休眠模式, 耗电流典型值为 1 A I/O 端口结构 3 个双向 I/O 端口 : P5, P6, P7 17 个 I/O 引脚 唤醒端口 : P5 8 个可编程下拉 I/O 引脚 8 个可编程上拉 I/O 引脚 8 个可编程漏极开路 I/O 引脚 外部中断引脚 : P60 工作电压范围 工作电压 : 2.3V~5.5V ( 商业级 ) 工作电压 : 2.5V~5.5V ( 工业级 ) 工作温度范围 工作温度 : 0 C ~70 C ( 商业级 ) 工作温度 : -40 C ~85 C ( 工业级 ) 工作频率范围 晶振模式 : 5V, DC~100ns inst. 5V 3V, DC~250ns inst. 3V ERC 模式 : 5V, DC~125ns inst. 5V 3V, DC~250ns inst. 3V IRC 模式 : 振荡模式 : 4MHz, 8MHz, 1MHz, 455kHz 内部 RC 频率 温度 (-40 C~85 C) 漂移率 电压 (2.3V~5.5V) 制程 总计 这四个主频可以通过编程设置 ICE259N 仿真的四个校正位来校正 OTP 在烧录的时候由义隆烧录器自动校正 外设配置 8 位可选择时钟源, 边沿触发和溢出中断的实时时钟 / 计数器 8 位实时时钟 / 计数器 (TCCA, TCCC) 和 16 位实时时钟 / 计数器 (TCCB), 可选择时钟源 边沿触发和溢出中断 Vref 模式下, 带有 12 位分辨率的 4 通道的 AD 转换器 易实现 IR ( 红外遥控 ) 应用电路 一对比较器或 OP 6 个中断源 : TCC, TCCA, TCCB, TCCC 溢出中断 输入状态改变中断 ( 从休眠模式唤醒 ) 外部中断 ADC 完成中断 比较器状态改变中断 IR/PWM 中断 特性 可编程自由运行的看门狗定时器 (4.5ms : 18ms) 省电的休眠模式 可选择的晶振模式 上电电压检测器 (2.0V 0.1V) 封装类型 : 14-pin DIP 300mil : EM78P259ND14 14-pin SOP 150mil : EM78P259NSO14 16-pin SOP 150mil : EM78P259NSO16A 18-pin DIP 300mil : EM78P259ND18 18-pin SOP 300mil : EM78P259NSO18 20-pin DIP 300mil : EM78P259ND20 20-pin SOP 300mil : EM78P259NSO20 20-pin SSOP 209mil : EM78P259NSS20 注 : 绿色产品不含有害物质 4 MHz ±10% ±5% ±4% ±19% 8 MHz ±10% ±6% ±4% ±20% 1 MHz ±10% ±5% ±4% ±19% 455kHz ±10% ±5% ±4% ±19% 产品规格书 (V1.5)

8 -16Pin EM78P259N-14Pin EM78P259N-20Pin EM78P259N-18Pin EM78P259N 3 引脚配置 P52/ADC P51/ADC1 P52/ADC P51/ADC1 P53/ADC P50/ADC0 P53/ADC3 P54/TCC/VREF /RESET Vss P60//INT P61/TCCA P54/TCC/VREF /RESET Vss P60//INT P61/TCCA P64/CO P50/ADC0 12 P55/OSCI 11 P70/OSCO 10 VDD 9 P67/IR OUT 8 P66/CIN- P55/OSCI P70/OSCO VDD P67/IR OUT P66/CIN- P65/CIN+ 图 3-1 EM78P259ND14/SO14 图 3-2 EM78P259NSO16A P52/ADC2 P53/ADC3 P54/TCC/VREF /RESET Vss P60//INT P61/TCCA P62/TCCB P63/TCCC P56 P52/ADC2 P53/ADC3 P54/TCC/VREF /RESET Vss P60//INT P61/TCCA P62/TCCB P63/TCCC P51/ADC1 P50/ADC0 P55/OSCI P70/OSCO VDD P67/IR OUT P66/CIN- P65/CIN+ P64/CO P57 P51/ADC1 P50/ADC0 P55/OSCI P70/OSCO VDD P67/IR OUT P66/CIN- P65/CIN+ P64/CO 图 3-3 EM78P259ND18/SO18 图 3-4 EM78P259ND20/SO20/SS20 2 产品规格书 (V1.5)

9 4 引脚说明 4.1 EM78P259ND14/SO14 符号引脚号类型功能 P70 11 I/O P60, P61 P66, P67 P50~P55 6~9 I/O 1~3 12~14 I/O OSCI 12 I OSCO 11 I/O /RESET 4 I TCC, TCCA ADC0~ ADC3 3, 7 I 1, 2, 13, 14 IR OUT 9 O VREF 3 I /INT 6 I VDD 10 电源 VSS 5 地 I 通用输入 / 输出引脚上电复位后值为默认 通用输入 / 输出引脚漏极开路功能上电复位后值为默认 通用输入 / 输出引脚上拉 / 下拉功能上电复位后值为默认引脚状态改变时, 从休眠模式唤醒 晶振类型 : 内部晶振输入端或外部时钟输入端 RC 类型 : RC 振荡器输入端 晶振类型 : 晶振输出端或外部时钟输入引脚 RC 类型 : 时钟输出为一个指令周期外部时钟信号输入引脚 如果复位脚电压保持在逻辑低电平,IC 将复位在普通模式下,/RESET 电压必须小于 Vdd 外部计数器输入 TCC 由 CONT <5> 定义 TCCA 由 IOC80 <1> 定义 模数转换器由 ADCON (R9) <1:0> 定义 IR 模式输出引脚 Vdd=5v, 当输出电压降到 0.7Vdd 和升至 0.3Vdd 时, 驱动电流和灌电流 = 20mA ADC 外部参考电压由 ADCON (R9) <7> 定义 下降或上升沿触发的外部中断引脚由 CONT <7> 定义 产品规格书 (V1.5)

10 4.2 EM78P259NSO16A 符号引脚序号类型功能 P70 13 I/O P60~P61, P64~P67 P50~P55 CIN-, CIN+ CO 6~11 I/O 1~3 14~16 10, 9 8 I/O I O OSCI 14 I OSCO 13 I/O /RESET 4 I TCC, TCCA ADC0~ ADC3 3, 7 I 1, 2, 15, 16 IR OUT 11 O VREF 3 I /INT 6 I VDD 12 电源 VSS 5 地 I 通用输入 / 输出引脚上电复位后值为默认 通用输入 / 输出引脚漏极开路功能上电复位后值为默认 通用输入 / 输出引脚上拉 / 下拉功能上电复位后值为默认引脚状态改变时, 从休眠模式唤醒 - : 比较器 Vin- 的输入引脚 + : 比较器 Vin+ 的输入引脚 CO: 比较器输出引脚由 IOC80 <4:3> 定义 晶振模式 : 晶振输入端或外部时钟输入引脚 RC 类型 : RC 振荡器输入引脚 Crystal 类型 : 晶振输出端或外部时钟输入引脚 RC 类型 : 时钟输出为一个指令周期外部时钟信号输入引脚 如果复位脚电压保持在逻辑低电平,IC 将复位在普通模式下,/RESET 脚电压必须小于 Vdd 外部计数器输入 TCC 由 CONT <5> 定义 TCCA 由 IOC80 <1> 定义 模数转换器由 ADCON (R9) <1:0> 定义 IR 模式输出引脚 Vdd=5v, 当输出电压降到 0.7Vdd 和升至 0.3Vdd 时, 驱动电流和灌电流 = 20mA ADC 外部参考电压由 ADCON (R9) <7> 定义 下降或上升沿触发的外部中断引脚由 CONT <7> 定义 4 产品规格书 (V1.5)

11 4.3 EM78P259ND18/SO18 Symbol Pin No. Type Function P70 15 I/O P60~P67 6~13 I/O P50~P55 CIN-, CIN+ CO 1~3 16~18 12, I/O I O OSCI 16 I OSCO 15 I/O /RESET 4 I TCC, TCCA, TCCB, TCCC ADC0~ ADC3 3, 7, 8, 9 1, 2, 17, 18 IR OUT 13 O VREF 3 I /INT 6 I VDD 14 电源 VSS 5 地 I I 通用输入 / 输出引脚上电复位后值为默认 通用输入 / 输出引脚漏极开路功能上电复位后值为默认 通用输入 / 输出引脚上拉 / 下拉功能上电复位后值为默认引脚状态改变时, 从休眠模式唤醒 - : 比较器 Vin- 的输入引脚 + : 比较器 Vin+ 的输入引脚 CO: 比较器输出引脚由 IOC80 <4:3> 定义 晶振模式 : 晶振输入端或外部时钟输入引脚 RC 类型 : RC 振荡器输入引脚 Crystal 类型 : 晶振输出端或外部时钟输入引脚 RC 类型 : 时钟输出为一个指令周期外部时钟信号输入引脚 如果复位脚电压保持在逻辑低电平,IC 将复位在普通模式下,/RESET 电压必须小于 Vdd 外部计数器输入 TCC 由 CONT <5> 定义 TCCA 由 IOC80 <1> 定义 TCCB 由 IOC90 <5> 定义 TCCC 由 IOC90 <1> 定义 模数转换器由 ADCON (R9) <1:0> 定义 IR 模式输出引脚 Vdd=5v, 当输出电压降到 0.7Vdd 和升至 0.3Vdd 时, 驱动电流和灌电流 = 20mA ADC 外部参考电压由 ADCON (R9) <7> 定义 下降或上升沿触发的外部中断引脚由 CONT <7> 定义 产品规格书 (V1.5)

12 4.4 EM78P259ND20/SO20/SS20 Symbol Pin No. Type Function P70 16 I/O P60~P67 7~14 I/O P50~P57 CIN-, CIN+ CO 1~4 17~20 13, I/O I O OSCI 17 I OSCO 16 I/O /RESET 5 I TCC, TCCA, TCCB, TCCC ADC0~ ADC3 4, 8, 9, 10 2, 3, 18, 19 IR OUT 14 O VREF 4 I /INT 7 I VDD 15 电源 VSS 6 地 I I 通用输入 / 输出引脚上电复位后值为默认 通用输入 / 输出引脚漏极开路功能上电复位后值为默认 通用输入 / 输出引脚上拉 / 下拉功能上电复位后值为默认引脚状态改变由休眠模式唤醒 - : 比较器 Vin- 的输入引脚 + : 比较器 Vin+ 的输入引脚 CO: 比较器输出引脚由 IOC80 <4:3> 定义 晶振模式 : 晶振输入端或外部时钟输入引脚 RC 类型 : RC 振荡器输入引脚 Crystal 类型 : 晶振输出端或外部时钟输入引脚 RC 类型 : 时钟输出为一个指令周期外部时钟信号输入引脚 如果复位脚电压保持在逻辑低电平,IC 将复位在普通模式下,/RESET 电压必须小于 Vdd 外部计数器输入 TCC 由 CONT <5> 定义 TCCA 由 IOC80 <1> 定义 TCCB 由 IOC90 <5> 定义 TCCC 由 IOC90 <1> 定义 模数转换器由 ADCON (R9) <1:0> 定义 IR 模式输出引脚 Vdd=5v, 当输出电压降到 0.7Vdd 和升至 0.3Vdd 时, 驱动电流和灌电流 = 20mA ADC 外部参考电压由 ADCON (R9) <7> 定义 下降或上升沿触发的外部中断引脚由 CONT <7> 定义 6 产品规格书 (V1.5)

13 5 功能结构图 P7 P70 P6 P60 P61 P62 P63 P64 P65 P66 P67 ROM Instruction Register Instruction Decoder ALU PC 8-level stack (13 bit) Ext. OSC. R4 Oscillation Generation Mux Int. RC Reset Ext. RC Start-up timer WDT TCCA TCCB TCCC Infrared remote control circuit TCC TCCA TCCB TCCC IR out TCC RAM P5 P50 P51 P52 P53 P54 P55 P56 P57 ACC R3 (Status Reg.) Interrupt control register Interrupt circuit ADC Comparator (CO) or OP Ext INT Ain0~3 Cin+ Cin- CO 图 5 EM78P259N 功能结构描述图 产品规格书 (V1.5)

14 User Memory Space EM78P259N 6 功能描述 6.1 操作寄存器 R0 ( 间接寻址寄存器 ) R0 不是一个在物理寄存器空间存在的寄存器, 它的主要功能是作为一个间接寻址指针 任何使用 R0 作为存取数据指针的指令, 实际存取的是 RAM 选择寄存器 (R4) 所指向的数据 R1 ( 定时时钟 / 计数器 ) 对来自 TCC 引脚的外部信号沿或对内部指令周期时钟进行加 1 计数 外部信号边沿由 CONT 寄存器的第 4 位 (TE) 决定是上升沿触发还是下降沿触发 和其它寄存器一样可读写 TCC 预分频计数器 (IOCC1) 分配给 TCC 使用 如有以下事件发生,IOCC1 寄存器的内容被清除 有数值写入 TCC 寄存器 有数值写入 TCC 预分频位 (CONT 寄存器的第 3,2,1,0 位 ) 上电复位,/RESET 复位和 WDT 溢出复位 R2 ( 程序计数器 ) 和堆栈 R3 A10 A9 A8 00 PAGE0 0000~03FF 01 PAGE1 0400~07FF A7 ~ A0 CALL RET RETL RETI Stack Level 1 Stack Level 2 Stack Level 3 Stack Level 4 Stack Level 5 Stack Level 6 Stack Level 7 Stack Level 8 Reset Vector Hardware Interrupt Vector On-chip Program Memory 000H 003H ~ 01EH 3FEH 7FFH 图 6-1 程序计数器构成 8 产品规格书 (V1.5)

15 R2 和硬件堆栈是 11 位宽, 它的结构在 节的数据存储配置表 ( 下一页 ) 中描述 产生 2K 13 位片内 OTP ROM 地址以获取对应的程序指令编码 一个程序页是 1024 字长 当复位产生时, 计数器 R2 的内容为 0 "JMP 指令可直接载入程序计数器 R2 低 10 位 因此, JMP 指令允许跳转范围为一个程序页面内 "CALL" 指令载入程序计数器 PC 的低 10 位, 并将 PC+1 值推入栈 因此, 子程序入口地址可以放在同一页的任一位置 "RET" ("RETL k", "RETI") 指令将栈顶数据载入 PC "ADD R2, A" 指令允许把 A 的内容加到当前 PC 上,PC 的第 9 位及 9 位以上的逐次增加 "MOV R2, A" 指令允许将寄存器 A 中的地址加载到 PC 的低 8 位,PC 的第 9 位和第 10 位 (A8~A9) 保持不变 除了 ADD R2,A 指令外, 任何写入 R2( 如. "MOV R2, A", "BC R2, 6", 等等 ) 的指令将不会引起 PC 的第 9 位和第 10 位改变 对于 EM78P259N, 执行 "JMP", "CALL" 指令或任何写入 R2 值的指令, 状态寄存器 (R3) 的 PS0 位的值将载入 R2 最高位 (A10) 除改变 R2 内容的指令需要 2 个指令周期外, 所有指令都是单指令周期 (fclk/2 或 fclk/4) 注意这些指令需要一个还是两个指令周期, 由代码选项寄存器的 CYES 位决定 产品规格书 (V1.5)

16 数据存储器结构 Address R PAGE registers IOCX0 PAGE registers IOCX1 PAGE registers 00 R0 (Indirect Addressing Register) Reserve 01 R1 (Time Clock Counter) CONT (Control Register) 02 R2 (Program Counter) Reserve 03 R3 (Status Register) Reserve 04 R4 (RAM Select Register) Reserve Reserve Reserve Reserve Reserve Reserve 05 R5 (Port5) IOC50 (I/O Port Control Register) 06 R6 (Port6) IOC60 (I/O Port Control Register) 07 R7 (Port7) IOC70 (I/O Port Control Register) 08 R8 (ADC Input Select Register 09 0A 0B 0C 0D 0E R9 RA RB RC RD RE (ADC Control Register) (ADC Offset Calibration Register) (The converted value AD11~AD4 of ADC) (The converted value AD11~AD8 of ADC) (The converted value AD7~AD0 of ADC) (Interrupt Status 2 and Wake-Up Control Register IOC80 IOC90 IOCA0 (Comparator and TCCA Control Register) (TCCB and TCCC Control Register) (IR and TCCC Scale Control Register) IOCB0 (Pull-down Control Register) IOCC0 (Open-drain Control Register) IOCD0 (Pull-high Control Register) IOCE0 (WDT Control Register and Interrupt Mask Register 2) 0F RF (Interrupt Status Register 1) IOCF0 (Interrupt Mask Register 1) IOC51 IOC61 IOC71 IOC81 IOC91 IOCA1 IOCB1 IOCC1 (TCCA Counter) (TCCB LSB Counter) (TCCB HSB Counter) (TCCC Counter) (Low-Time Register) (High-Time Register) (High-Time and Low-Time Scale control Register) (TCC Prescaler Control) Reserve Reserve Reserve 10 : 1F General Registers 20 : 3F Bank 0 Bank 1 10 产品规格书 (V1.5)

17 6.1.4 R3 ( 状态寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 RST IOCS PS0 T P Z DC C Bit 7 (RST): 复位类型位若引脚状态改变唤醒休眠模式, 比较器状态改变或者 AD 转换完成, 其值为 1 其它复位类型, 其值为 0 Bit 6 (IOCS): IO 控制寄存器段选择位 0: 选择段 0 (IOC50~IOCF0 ) 1: 选择段 1 (IOC51~IOCC1 ) Bit 5 (PS0): 页面选择位 PS0 用于选择一个程序存储页 当执行 JMP CALL 或者其它导致程序计数器改变的指令 ( 例如 :MOV R2,A) 时,PS0 被装入程序计数器的第 11 位, 以选择一个可用程序内存页 注意 RET(RETL,RETI) 指令不改变 PS0 位 也就是说, 不管当前的 PS0 位设置, 程序都会返回到子程序被调用前的页面 PS0 程序存储页 [ 地址 ] 0 页 0 [000-3FF] 1 页 1 [400-7FF] Bit 4 (T): 时间溢出位 执行 SLEP 和 WDTC 指令时置 1, 上电复位或 WDT 溢出时清 0( 详见 节, 状态寄存器的 T 和 P 状态 ) Bit 3 (P): 低功耗标志位 当上电或执行 "WDTC" 指令后置 1, 执行 "SLEP" 指令后该位清 0 ( 详见 节, 状态寄存器的 T 和 P 状态 ) Bit 2 (Z): 零标志 当算术运算或逻辑运算的结果为 0 时, 该位置 1 Bit 1 (DC): 辅助进位标志 Bit 0 (C): 进位标志 R4 (RAM 选择寄存器 ) Bit 7: 始终置 0 Bit 6: 用于选择寄存器的 BANK0 和 BANK1 Bits 5~0: 在间接寻址方式中用于选择寄存器 ( 地址 :00~0F, 10~3F) 参见 节的数据存储器结构图 R5 ~ R6 (Port 5 ~ Port 6) R5 & R6 为 I/O 寄存器 产品规格书 (V1.5)

18 6.1.7 R7 (Port 7) Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 EM78P259N I/O ICE259N C3 C2 C1 C0 RCM1 RCM0 0 I/O 注意 : R7 是 I/O 寄存器 对于 EM78P259N, 仅 R7 的最低位有效 Bit 7 ~ Bit 2: [ 在 EM78P259N]: 未使用, 读做 0 [ 在仿真器 (C3~C0, RCM1, & RCM0)]: IRC 模式的校验位 在 ICE259N ICE 仿真器的 IRC 模式下, 这些位是 IRC 模式选择位和 IRC 校验位 Bit 7 ~ Bit 4 (C3 ~ C0): 模式校验位 C3 C2 C1 C0 频率 (MHz) (1-36%) F (1-31.5%) F (1-27%) F (1-22.5%) F (1-18%) F (1-13.5%) F (1-9%) F (1-4.5%) F F ( 默认 ) (1+4.5%) F (1+9%) F (1+135%) F (1+18%) F (1+22.5%) F (1+27%) F (1+31.5%) F 1. 上表所列频率为理论上的值, 是高频模式的一个例子, 因此仅供参考, 具体的值将取决于实际制程 2. 类似的算法也适用于低频模式 Bit 3 & Bit 2 (RCM1, RCM0): IRC 模式选择位 RCM 1 RCM 0 频率 (MHz) ( 默认值 ) kHz R8 (AISR: ADC 输入选择寄存器 ) AISR 寄存器分别单独定义 Port5 管脚为模拟输入或数字 I/O 口 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 ADE3 ADE2 ADE1 ADE0 12 产品规格书 (V1.5)

19 Bit 7 ~ Bit 4: 未使用 Bit 3 (ADE3): P53 引脚 AD 转换使能位 0: 禁止 ADC3, P53 作为普通 I/O 1: 使能 ADC3, 作为模拟输入脚 Bit 2 (ADE2): P52 引脚的 AD 转换使能位 0: 禁止 ADC2, P52 作为普通 I/O 1: 使能 ADC2, 作为模拟输入脚 Bit 1 (ADE1): P51 脚的 AD 转换使能位 0: 禁止 ADC1, P51 作为普通 I/O 1: 使能 ADC1, 作为模拟输入脚 Bit 0 (ADE0): P50 脚的 AD 转换使能位 0: 禁止 ADC0, P50 作为普通 I/O 1: 使能 ADC0, 作为模拟输入脚 R9 (ADCON: ADC 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 VREFS CKR1 CKR0 ADRUN ADPD ADIS1 ADIS0 Bit 7 (VREFS): ADC 参考电压的输入源 0: 以工作电压 VDD 为 ADC 的参考电压 ( 默认值 ),P54/VREF 引脚执行 P54 功能 1: 引脚 P54/VREF 上的电压为 ADC 的参考电压 注意 P54/TCC/VREF 引脚不能同时用作 TCC 和 VREF 如果 P54/TCC/VREF 作为 VREF 模拟输入脚, 则 CONT 寄存器的第 5 位 TS 必须清 0 P54/TCC/VREF 脚位优先级如下 : P53/TCC/VREF 引脚优先级 高中低 VREF TCC P54 产品规格书 (V1.5)

20 Bit 6 和 Bit 5 (CKR1 和 CKR0): AD 转换的振荡器时钟预分频 00 = 1: 16 ( 默认值 ) 01 = 1: 4 10 = 1: = 1: WDT 环形振荡频率 CKR1:CKR0 工作模式最大工作频率 00 Fosc/16 4 MHz 01 Fosc/4 1 MHz 10 Fosc/64 16 MHz 11 内部 RC Bit 4 (ADRUN): ADC 开始运行位. 0: 转换完成时复位, 但不可由软件复位 1: A/D 转换开始, 该位可由软件置位 Bit 3 (ADPD): ADC 低功耗模式 0: 关闭 ADC 参考电阻使其进入低功耗状态, 尽管此时 CPU 可能仍在工作 1: ADC 处于运行状态 Bit 2: 未使用 Bit 1 ~ Bit 0 (ADIS1 ~ADIS0): 模拟输入选择位 00 = ADIN0/P50 01 = ADIN1/P51 10 = ADIN2/P52 11 = ADIN3/P53 只有在 ADIF 和 ADRUN 都为 0 时方可修改这两位 ( 见 部分, RE ( 中断状态 2 & 唤醒控制寄存器 )) RA (ADOC: ADC 补偿校准寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CALI SIGN VOF[2] VOF[1] VOF[0] Bit 7 (CALI): ADC 校准使能位 0: 禁止校准 1: 使能校准 Bit 6 (SIGN): 补偿电压极性选择位 0: 负电压 1: 正电压 14 产品规格书 (V1.5)

21 Bit 5 ~ Bit 3 (VOF[2] ~ VOF[0]): 补偿电压位 VOF[2] VOF[1] VOF[0] EM78P259N ICE259N LSB 0LSB LSB 1LSB LSB 2LSB LSB 3LSB LSB 4LSB LSB 5LSB LSB 6LSB LSB 7LSB Bit 2 ~ Bit 0: 未使用, 读做 RB (ADDATA: ADC 转换结果 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 当 A/D 转换完成后, 其结果送入 ADDATA 中 ADRUN 位清 0,ADIF 置 1 ( 见 部 分,RE( 中断状态 2& 唤醒控制寄存器 )) RB 为只读寄存器 RC (ADDATA1H: ADC 转换结果 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit AD11 AD10 AD9 AD8 当 A/D 转换完成后, 其结果的高 4 位送入 ADDATA1H ADRUN 位清 0,ADIF 置 1 ( 见 部分,RE( 中断状态 2& 唤醒控制寄存器 )) RC 为只读寄存器 RD (ADDATA1L: ADC 转换结果 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 当 A/D 转换完成后, 其结果的低 8 位送入 ADDATA1L ADRUN 位清 0,ADIF 置 1 ( 见 部分,RE( 中断状态 2& 唤醒控制寄存器 )) RD 为只读寄存器 RE ( 中断状态 2 和唤醒控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 ADIF CMPIF ADWE CMPWE ICWE - 注意 : RE <5,4> 可由软件清 0, 但不能置 1 IOCE0 是中断屏蔽寄存器 读 RE 的值是 RE 与 IOCE0 逻辑与 的结果 产品规格书 (V1.5)

22 Bit 7 & Bit 6: 未使用 Bit 5 (ADIF): A/D 转换中断标志位 当 AD 转换完成时置 1, 由软件清 0 0: 无中断产生 1: 有中断请求 Bit 4 (CMPIF): 比较器中断标志位 当比较器的输出状态改变时置位, 由软件清零 0: 无中断产生 1: 有中断请求 Bit 3 (ADWE): ADC 唤醒使能位 0: 禁止 ADC 唤醒 1: 使能 ADC 唤醒当 AD 转换进入休眠模式时, 此位必须 使能 Bit 2 (CMPWE): 比较器唤醒使能位 0: 禁止比较器唤醒 1: 使能比较器唤醒当比较器进入休眠模式时, 此位必须被 使能 Bit 1 (ICWE): Port5 输入状态改变唤醒使能位 0: 禁止 Port5 输入状态改变唤醒 1: 使能 Port5 输入状态改变唤醒当 Port5 状态改变用于唤醒休眠模式时, 此位必须 使能 Bit 0: 未使用, 读取为 RF ( 中断状态 2 寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 LPWTIF HPWTIF TCCCIF TCCBIF TCCAIF EXIF ICIF TCIF 注意 : 1 表示有中断请求 RF 可由指令清 0, 但不能置 1. IOCF0 是中断屏蔽寄存器 读 RF 的值是 RF 与 IOCF0 逻辑与 的结果 0 表示没有中断产生 Bit 7 (LPWTIF): IR/PWM 的内部低脉宽定时器下溢中断标志, 由软件清 0 Bit 6 (HPWTIF): IR/PWM 的内部高脉宽定时器下溢中断标志, 由软件清 0 Bit 5 (TCCCIF): TCCC 溢出中断标志 当 TCCC 溢出时置 1, 由软件清 0 Bit 4 (TCCBIF): TCCB 溢出中断标志 当 TCCB 溢出时置 1, 由软件清 0 Bit 3 (TCCAIF): TCCA 溢出中断标志 当 TCCA 溢出时置 1, 由软件清 0 Bit 2 (EXIF): 外部中断标志 当 /INT 引脚为下降沿时置 1, 由软件清 0 Bit 1 (ICIF): P5 口输入状态改变中断标志 当 P5 口输入改变时置 1, 由软件清 产品规格书 (V1.5)

23 Bit 0 (TCIF): TCC 溢出中断标志 当 TCC 溢出时置 1, 由软件清 R10 ~ R3F 这些寄存器都是 8 位通用寄存器 6.2 特殊功能寄存器 A ( 累加器 ) 用于暂存内部数据传输或指令操作数, 不可寻址 CONT ( 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 INTE INT TS TE PSTE PST2 PST1 PST0 注意 : CONT 寄存器可读写 位 6 为只读位 Bit 7 (INTE): INT 信号边沿 0: INT 引脚信号上升沿中断 i 1: INT 引脚信号下降沿中断 Bit 6 (INT): 中断使能标志 0: 由 DISI 指令或硬件中断屏蔽中断 1: ENI 或 RETI 指令使能中断此位只读 Bit 5 (TS): TCC 信号源 0: 内部指令周期时钟,P54 为双向 I/O 口 1: 由 TCC 引脚传输信号 Bit 4 (TE): TCC 信号沿 0: TCC 引脚信号由低到高变化时,TCC 加 1 1: TCC 引脚信号由高到低变化时,TCC 加 1 Bit 3 (PSTE): TCC 预分频使能位 0: 预分频比禁止位. TCC 分频比 1:1 1: 预分频比使能位. TCC 分频比由 Bit 2 ~ Bit 0 设置 产品规格书 (V1.5)

24 Bit 2 ~ Bit 0 (PST2 ~ PST0): TCC 预分频位 PST2 PST1 PST0 TCC 分频比 : : : : : : : :256 注意 : Tcc 溢出时间 [1/Fosc x 分频比 x 256 (Tcc cnt) x 1], CLK=2 时 Tcc 溢出时间 [1/Fosc x 分频比 x 256 (Tcc cnt) x 2], CLK=4 时 IOC50 ~ IOC70 (I/O 端口控制寄存器 ) 1 定义对应 I/O 脚为高阻输入状态, 0 定义对应的 I/O 脚为输出 对于 14 个引脚的 EM78P259N : IOC50 <7, 6>, IOC60<5, 4, 3, 2>: 这些位始终必须设置为 0 IOC70 寄存器可读写 对于 16 个引脚的 EM78P259N : 其它位可读写 IOC50 <7, 6>, IOC60 <3, 2>: 这些位始终必须设置为 0 IOC70 寄存器可读写 对于 18 个引脚的 EM78P259N : 其它位可读写 IOC50<7, 6>: 这些位始终必须设置为 0 其它位可读写. IOC60, IOC70 寄存器可读写 对于 20 个引脚的 EM78P259N : IOC50, IOC60, IOC70 寄存器可读写 IOC80 ( 比较器和 TCCA 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CMPOUT COS1 COS0 TCCAEN TCCATS TCCATE 注意 : IOC80 寄存器的位 4~0 可读写 IOC80 寄存器的位 5 为只读 Bit 7 and Bit 6: 未使用 Bit 5 (CMPOUT): 比较器结果输出 18 产品规格书 (V1.5)

25 该位为只读 Bit 4 and Bit 3 (COS1 和 COS0): 比较器 / 运算放大器选择位 COS1 COS0 功能描述 0 0 比较器和运算放大器未使用,P64,P66 和 P67 作为通用 I/O 口 0 1 用作比较器,P64 作为通用 I/O 口 1 0 用作比较器,P64 作为比较器的输出脚 (CO) 1 1 作为运算放大器,P64 作为运算放大器的输出脚 (CO) Bit 2 (TCCAEN): TCCA 使能位 0: 禁止 TCCA 1: 使能 TCCA Bit 1 (TCCATS): TCCA 信号源 0: 内部指令周期时钟,P61 为双向 I/O 口 1: 通过 TCCA 引脚传输信号源 Bit 0 (TCCATE): TCCA 信号沿 0: TCCA 引脚信号由低到高变化时,TCCA 加 1 1: TCCA 引脚信号由高到低变化时,TCCA 加 IOC90 (TCCB 和 TCCC 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 TCCBHE TCCBEN TCCBTS TCCBTE TCCCEN TCCCTS TCCCTE Bit 7 (TCCBHE): 计数器高字节控制位 0: 禁止 TCCBH 高字节 ( 默认值 ),TCCB 是一个 8 位计数器 1: 使能 TCCBH 高字节,TCCB 是一个 16 位计数器 Bit 6 (TCCBEN): TCCB 使能位 0: 禁止 TCCB 1: 使能 TCCB Bit 5 (TCCBTS) TCCB 信号源 0: 内部指令周期时钟,P62 为双向 I/O 口 1: 通过 TCCB 引脚传输 Bit 4 (TCCBTE): TCCB 信号沿 Bit 3: 未使用 0: TCCB 引脚信号由低到高变化时,TCCB 加 1 1: TCCB 引脚信号由高到低变化时,TCCB 加 1 Bit 2 (TCCCEN): TCCC 使能位 0: 禁止 TCCC 1: 使能 TCCC 产品规格书 (V1.5)

26 Bit 1 (TCCCTS): TCCC 信号源 0: 内部指令时钟周期,P63 为双向 I/O 口 1: 通过 TCCC 引脚传输 Bit 0 (TCCCTE): TCCC 信号沿 0: TCCC 引脚信号由低到高变化时,TCCC 加 1 1: TCCC 引脚信号由高到低变化时,TCCC 加 IOCA0 (IR 和 TCCC 分频控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 TCCCSE TCCCS2 TCCCS1 TCCCS0 IRE HF LGP IROUTE Bit 7 (TCCCSE): TCCC 分频比使能位 一个 8 位带有预分频的计数器分配给 TCCC 和 IR 模式. 当作为 IR- 模式时, TCCC 计数器分频比用来对载波调制的低脉宽定时 ( 见在 部分的图 6-11 的功能描述 ) 0: 禁止分频位 TCCC 分频比是 1:1 1: 使能分频位 由位 6 ~ 位 4 设置 TCCC 分频比 Bit 6 ~ Bit 4 (TCCCS2 ~ TCCCS0): TCCC 分频比设置位 TCCCS2 TCCCS1 TCCCS0 TCCC 分频比 : : : : : : : :256 Bit 3 (IRE): 红外遥控使能位 0: 禁止 IRE, 即禁止 H/W 调制功能 IROUT 引脚固定于高电平,TCCC 为 加计数器 1: 使能 IRE, 即使能 H/W 调制功能 P67 定义为 IROUT 如果 HP=1,TCCC 计数器分频用作对载波调制的低脉宽定时 ( 见在 部分的图 6-11 的功 能描述 ) 如果 HP=0,TCCC 为加计数器 Bit 2 (HF): 高频位 0: PWM 应用 IROUT 波形是根据高电平脉宽定时器和低脉宽定时器来分 别决定高电平时间长度和低电平时间长度 1: IR 应用模式 载波调制的低脉宽 ( 详见 部分的图 6-11 功能描述 ) Bit 1 (LGP): 长脉冲. 0: 高电平定时寄存器和低电平定时寄存器有效 20 产品规格书 (V1.5)

27 1: 忽略高电平定时寄存器 产生一个单脉冲 Bit 0 (IROUTE): 定义 P67 (IROUT) 引脚功能控制位 0: P67 定义为双向 I/O 口 1: P67 定义为 IROUT, 在这种情况下,P67 的 I/O 控制位 (IOC6 的位 7) 必须设置为 IOCB0 ( 下拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /PD57 /PD56 /PD55 /PD54 /PD53 /PD52 /PD51 /PD50 注意 : IOCB0 寄存器可读写 Bit 7 (/PD57): P57 引脚下拉使能控制位 0: 使能内部下拉 1: 禁止内部下拉 Bit 6 (/PD56): P56 引脚下拉使能控制位 Bit 5 (/PD55): P55 引脚下拉使能控制位 Bit 4 (/PD54): P54 引脚下拉使能控制位 Bit 3 (/PD53): P53 引脚下拉使能控制位 Bit 2 (/PD52): P52 引脚下拉使能控制位 Bit 1 (/PD51): P51 引脚下拉使能控制位 Bit 0 (/PD50): P50 引脚下拉使能控制位 IOCC0 ( 漏极开路控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /OD67 /OD66 /OD65 /OD64 /OD63 /OD62 /OD61 /OD60 注意 : IOCC0 寄存器可读写 Bit 7 (/OD67): P67 引脚漏极开路使能控制位 0: 使能漏极开路输出 1: 禁止漏极开路输出 Bit 6 (/OD66): P66 引脚漏极开路使能控制位 Bit 5 (/OD65): P65 引脚漏极开路使能控制位 Bit 4 (/OD64): P64 引脚漏极开路使能控制位 Bit 3 (/OD63): P63 引脚漏极开路使能控制位 Bit 2 (/OD62): P62 引脚漏极开路使能控制位 Bit 1 (/OD61): P61 引脚漏极开路使能控制位 Bit 0 (/OD60): P60 引脚漏极开路使能控制位 产品规格书 (V1.5)

28 6.2.9 IOCD0 ( 上拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /PH57 /PH56 /PH55 /PH54 /PH53 /PH52 /PH51 /PH50 注意 : IOCD0 寄存器可读写 Bit 7 (/PH57): P57 引脚上拉使能控制位 0: 使能内部上拉 ; 1: 禁止内部上拉. Bit 6 (/PH56): P56 引脚上拉使能控制位 Bit 5 (/PH55): P55 引脚上拉使能控制位 Bit 4 (/PH54): P54 引脚上拉使能控制位. Bit 3 (/PH53): P53 引脚上拉使能控制位. Bit 2 (/PH52): P52 引脚上拉使能控制位. Bit 1 (/PH51): P51 引脚上拉使能控制位. Bit 0 (/PH50): P50 引脚上拉使能控制位 IOCE0 (WDT 控制和中断屏蔽寄存器 2) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 WDTE EIS ADIE CMPIE PSWE PSW2 PSW1 PSW0 Bit 7 (WDTE): 看门狗定时器使能控制位 0: 禁止 WDT 1: 使能 WDT WDTE 可读写 Bit 6 (EIS): P60 (/INT) 引脚功能控制位 0: P60, 双向 I/O 口 1: /INT, 外部中断输入引脚, 这种情况下,P60 的 I/O 控制位 (IOC6 的位 0 ) 必须设置为 1 注意 当 EIS 为 0 时,/INT 的通道被屏蔽 当 EIS 为 1 时,/INT 引脚状态也可由 Port6(R6) 读取到 参考图 6-4(6.4 部分的 P60(INT)I/O 端口和 I/O 控制寄存器电路图 ) EIS 是可读写的 Bit 5 (ADIE): ADIF 中断使能位 0: 禁止 ADIF 中断 1: 使能 ADIF 中断 Bit 4 (CMPIE): 比较器中断使能位 0: 禁止比较器中断 22 产品规格书 (V1.5)

29 1: 使能比较器中断 Bit 3 (PSWE): WDT 预分频使能位 0: 预分频禁止位,WDT 分频比为 1:1 1: 预分频使能位,WDT 分频比由位 0~ 位 2 位设置 Bit 2 ~ Bit 0 (PSW2 ~ PSW0): WDT 预分频比位 PSW2 PSW1 PSW0 WDT 分频比 : : : : : : : : IOCF0( 中断屏蔽寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 LPWTIE HPWTIE TCCCIE TCCBIE TCCAIE EXIE ICIE TCIE IOCF0 寄存器可读写 注意 通过设定 IOCF0 和 IOCE0 的 bit4,5 相关位为 1 来单独使能中断 全局中断由 ENI 指令使能, 由 DISI 指令禁止 参考 6.6 部分 ( 中断 ) 的图 6-8( 中断输入电路图 ). Bit 7 (LPWTIE): LPWTIF 中断使能位 0: 禁止 LPWTIF 中断 1: 使能 LPWTIF 中断 Bit 6 (HPWTIE): HPWTIF 中断使能位 0: 禁止 HPWTIF 中断 1: 使能 HPWTIF 中断 Bit 5 (TCCCIE): TCCCIF 中断使能位 0: 禁止 TCCCIF 中断 1: 使能 TCCCIF 中断 Bit 4 (TCCBIE): TCCBIF 中断使能位 0: 禁止 TCCBIF 中断 1: 使能 TCCBIF 中断 Bit 3 (TCCAIE): TCCAIF 中断使能位 产品规格书 (V1.5)

30 0: 禁止 TCCAIF 中断 1: 使能 TCCAIF 中断 Bit 2 (EXIE): EXIF 中断使能位 0: 禁止 EXIF 中断 1: 使能 EXIF 中断 Bit 1 (ICIE): ICIF 中断使能位 0: 禁止 ICIF 中断 1: 使能 ICIF 中断 Bit 0 (TCIE): TCIF 中断使能位 0: 禁止 TCIF 中断 1: 使能 TCIF 中断 IOC51 (TCCA 计数器 ) IOC51 (TCCA) 是一个 8 位时钟计数器 可读写, 在任何复位条件下可清零, 它是个加计数器 注意 TCCA 溢出周期 [1/Fosc x (256-TCCA cnt) x 1], CLK=2 时 TCCA 溢出周期 [1/Fosc x (256-TCCA cnt) x 2], CLK=4 时 IOC61 (TCCB 计数器 ) IOC61 (TCCB) 是 TCCBX (TCCB) 的低 8 位时钟计数器 可读写, 在任何复位条件下被清零, 它是个加计数器 IOC71 (TCCBH/MSB 计数器 ) IOC71 (TCCBH) 是 TCCBX (TCCB) 的高 8 位时钟计数器 可读写, 在任何复位条件下被清零, 它是个加计数器 当 TCCBHE (IOC90) 为 0, 时 TCCBH 屏蔽掉 当 TCCBHE 为 1, 时 TCCB 是个 16 位的计数器 注意当 TCCBH 禁止使能时 : TCCB 溢出周期 [1/Fosc x ( TCCB cnt ) x 1], 当 CLK=2 时 TCCB 溢出周期 [1/Fosc x ( TCCB cnt ) x 2], 当 CLK=4 时当 TCCBH 使能时 : TCCB 溢出周期 {1/Fosc x [ (TCCBH * TCCB cnt)] x 1}, 当 CLK=2 时 TCCB 溢出周期 {1/Fosc x [ (TCCBH * TCCB cnt)] x 2}, 当 CLK=4 时 24 产品规格书 (V1.5)

31 IOC81 (TCCC 计数器 ) IOC81 (TCCC) IOC81 (TCCC) 是一个 8 位时钟计数器, 可扩展为 16 位计数器 可读写, 在任何复位条件下被清零 如果 HF(IOCA0 寄存器的位 2 )=1 且 IRE(IOCA0 的位 3 )=1,TCCC 计数器分频比用作对载波调制的低脉宽定时 ( 见 部分图 6-12 功能描述 ). TCCC 值即为 TCCC 预设值 当 HP=0 或 IRE=0 时,TCCC 是加计数器 注意处于 TCCC 加计数器模式 : TCCC 溢出周期 [1/Fosc x 分频比 (IOCA0) x (256-TCCC cnt) x 1], 当 CLK=2 时 TCCC 溢出周期 [1/Fosc x 分频比 (IOCA0) x (256-TCCC cnt) x 2], 当 CLK=4 时 当 HP=1 且 IRE=1 时,TCCC 计数器分频比用作对载波调制的低脉宽定时 注意处于 IR 模式 : 载波 = FT/ 2 { [1+ 十进制的 TCCC 计数器的值 (IOC81)] * TCCC Scale (IOCA0) } FT 为系统时钟 : FT = Fosc/1 (CLK=2) FT = Fosc/2 (CLK=4) IOC91 ( 低电平定时寄存器 ) 8 位低电平定时寄存器控制脉冲的启动或低电平时间长度 保持在寄存器中的十进制值决定了振荡周期的数目并且检测红外输出脚是启动的 红外输出启动的时间长度可按下列公式计算 : 注意 低电平定时宽度 = {[1+ 十进制的低电平定时值 (IOC91)] * 低电平定时分频 (IOCB1)}/FT FT 为系统时钟 : FT = Fosc/1 (CLK=2) FT = Fosc/2 (CLK=4) 当由低电平时间减计数器下溢产生中断 ( 若使能 ) 时, 则下一条指令将从地址 015H( 低 电平时间中断入口地址 ) 获取 产品规格书 (V1.5)

32 IOCA1 ( 高电平时间寄存器 ) 8 位高电平定时寄存器控制脉冲的不启动时间或高电平时间长度 保持在寄存器中的十进制值决定了振荡器的周期数并且检测红外输出脚是不启动的 红外输出不启动的时间长度可按下列公式计算 : 注意 高电平定时宽度 = {[1+ 十进制高电平定时值 (IOCA1)] * 高电平定时分频比 (IOCB1) }/FT FT 为系统时钟 : FT=Fosc/1(CLK=2) FT=Fosc/2(CLK=4) 当高电平时间减计数器下溢产生中断 ( 若使能 ), 则下一个指令将从地址 012H( 高电平时间中断入口地址 ) 获取 IOCB1 ( 高 / 低电平定时分频比控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 HTSE HTS2 HTS1 HTS0 LTSE LTS2 LTS1 LTS0 Bit 7 (HTSE): 高电平定时分频比使能位 0: 分频比禁止, 高电平定时分频比为 1:1 1: 分频比使能, 高电平定时分频比由位 6~ 位 4 设置 Bit 6 ~ Bit 4 (HTS2 ~ HTS0): 高电平定时分频比位 : HTS2 HTS1 HTS0 高电平定时分频比 : : : : : : : :256 Bit 3 (LTSE): 低电平定时分频比使能位 0: 分频比禁止, 低电平定时分频比为 1:1 1: 分频比使能, 低电平定时分频比由位 2~ 位 0 设定 26 产品规格书 (V1.5)

33 Bit 2 ~ Bit 0 (LTS2 ~ LTS0): 低电平定时分频比位 : LTS2 LTS1 LTS0 低电平定时分频比 : : : : : : : : IOCC1 (TCC 预分频计数器 ) TCC 预分频计数器可读写 PST2 PST1 PST0 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 TCC 分频比 V 1: V V 1: V V V 1: V V V V 1: V V V V V 1: V V V V V V 1: V V V V V V V 1: V V V V V V V V 1:256 TCC 分频计数器分配给 TCC (R1). 下列任何一种情况发生,IOCC1 寄存器的内容被清零 : 给 TCC 寄存器赋值 给 TCC 预分频位赋值 (CONT 寄存器的第 3,2,1,0 位 ) 上电复位,/RESET 复位 WDT 溢出复位 6.3 TCC/WDT 和预分频比 V = 有效值 EM78P259N 有两个 8 位计数器分别作为 TCC 和 WDT 的可扩展为 16 位计数器的分频器 由 CONT 寄存器的 PST0~PST2 位决定 TCC 的分频系数 由 IOCE0 寄存器的 PSW0~PSW2 位决定 WDT 的分频系数 通过执行指令 WDTC 和 SLEP 对 WDT 清 0 TCC/WDT 的电路结构图如图 6-2( 下一页 ) 所示 TCC(R1) 是一个 8 位定时 / 计数器,TCC 时钟源可以选择内部时钟或外部信号输入 ( 从 TCC 引脚的输入时钟的边沿可选择 ) 如果 TCC 时钟源由内部时钟提供,TCC 在每个指 令周期加 1( 无预分频比 ) 参考图 6-2, 代码选项位 <CLKS> 决定 CLK=Fosc/2 或 CLK=Fosc/4 如果 TCC 时钟源由外部时钟输入,TCC 将在 TCC 引脚输入每个下降沿或 上升沿时加 1,TCC 引脚输入脉冲宽度 ( 高或低 ) 必须大于 1 个时钟周期 产品规格书 (V1.5)

34 注意 在休眠模式内部 TCC 停止工作 然而, 在 AD 转换期间, 即使执行 SLEP 指令, 如果 RE 寄存器的 ADWE 位使能,TCC 仍继续运行 WDT 定时器的时钟源是一个自由运行的片内 RC 振荡器 甚至当控制振荡器关闭后 ( 如在休眠模式 ),WDT 仍在继续运行 无论是普通模式还是休眠模式,WDT 溢出 (( 若使能 ) 使 MCU 复位 在普通模式下,WDT 的使能或禁止通过软件设置 参考 IOCE0 寄存器的 WDTE 位 ( 节的 IOCE0(WDT 控制 & 中断屏蔽寄存器 2)) 无设置 WDT 的分频比时,WDT 溢出的时间是 18ms 1 或 4.5ms 2 Fosc/2 or Fosc/4 TCC Pin TE (CONT) 0 1 MUX 8-Bit Counter (IOCC1) 8 to 1 MUX Data Bus TCC (R1) WDT TS (CONT) 8-Bit counter Prescaler PST2~0 (CONT) TCC overflow interrupt WDTE (IOCE0) 8 to 1 MUX WDT Time out Prescaler PSW2~0 (IOCE0) 图 6-2 TCC 和 WDT 结构图 1 VDD=5V, WDT 溢出周期 = 16.5ms ± 30% VDD=3V, WDT 溢出周期 = 18ms ± 30% 2 VDD=5V, WDT 溢出周期 = 4.2ms ± 30% VDD=3V, WDT 溢出周期 = 4.5ms ± 30% 28 产品规格书 (V1.5)

35 6.4 I/O 端口 I/O 寄存器 (Port 5, Port 6, 和 Port 7) 是双向三态双向 I/O 端口 Port5 的内部上拉或下拉通过软件设置 同样,P6 的漏极开路功能通过软件设置 Port 5 具有输入状态改变中断 ( 或唤醒 ) 的特性 每个 I/O 引脚可以通过设置 I/O 控制寄存器 (IOC5 ~ IOC7) 设置为输入或输出引脚 I/O 寄存器和控制寄存器都是可读写的 Port 5, Port 6, 和 Port7 的 I/O 接口电路描述见图 6-3, 6-4, 6-5, & 6-6 ( 见下一页 ) PCRD Q P R D _ Q CLK C L PCWR PORT Q _ Q P R C L D CLK PDWR IOD PDRD 0 1 M U X 注 : 漏极开路没有在图中显示 图 6-3 Port 6 和 Port 7 的 I/O 端口和 I/O 控制寄存器电路图 PCRD Q _ Q P R D CLK C L PCWR PORT Bit 6 of IOCE0 Q _ Q P R D CLK C L PDWR IOD D P R CLK C L Q _ Q 0 1 M U X PDRD INT 注 : 漏极开路没有在图中显示 图 6-4 P60(/INT) 的 I/O 口和 I/O 控制寄存器电路图 产品规格书 (V1.5)

36 . EM78P259N PCRD Q P R D _ CLK Q C L PCWR P50 ~ P57 PORT Q P R D _ CLK Q C L PDWR IOD 0 1 M U X PDRD TI n D P R CLK C L Q _ Q 注 : 内部上拉 ( 下拉 ) 没有在图中显示 图 6-5 P50~P57 的 I/O 口和 I/O 控制寄存器电路图 IOCF.1 RF.1 TI 0 TI 1 TI 8 图 6-6 Port5 输入状态改变唤醒 / 中断功能块图 30 产品规格书 (V1.5)

37 6.4.1 Port 5 输入状态改变唤醒 / 中断功能的使用 (1) 唤醒 (2) 唤醒和中断 (a) 休眠前 (a) 休眠前 1. 禁止 WDT 1. 禁止 WDT 2. 读 I/O Port 5 (MOV R5,R5) 2. 读 I/O Port 5 (MOV R5,R5) 3. 执行 "ENI" 或 "DISI" 指令 3. 执行 "ENI" 或 "DISI" 指令 4. 使能唤醒位 ( 置 RE 的 ICWE 位 =1) 4. 使能唤醒位 ( 置 RE 的 ICWE 位 =1) 5. 执行 "SLEP" 指令 5. 使能中断 ( 置 IOCF0 的 ICIE 位 =1) (b) 唤醒后 下一条指令 6. 执行 "SLEP" 指令 (b) 唤醒后 1. 若执行 "ENI" 中断向量地址 (006H) 2. 若执行 "DISI" 下一条指令 (3) 中断 (a) 在 Port5 引脚状态改变前 1. 读 I/O Port 5 (MOV R5,R5) 2. 执行 "ENI" 或 "DISI" 指令 3. 使能中断 ( 置 IOCF0 的 ICIE 位 =1) (b) Port 5 引脚输入状态改变 ( 中断 ) 后 1. 若 "ENI" 中断向量地址 (006H) 2. 若 "DISI" 下条指令 6.5 复位和唤醒 复位和唤醒操作 复位由下列情况之一引起 : 1. 上电复位 2. /RESET 引脚输入低电压 3. WDT 溢出 ( 若使能 ) 检测到复位之后, 控制器将保持约 18ms 3 ( 除 LXT 模式 ) 的复位状态 在低频晶振模式下, 复位时间是 500ms 两种选择模式(18ms 3 或 4.5ms 4 ) 时间都是 WDT 溢出周期 一旦复位发生, 将会执行以下功能 ( 初始地址是 000h) : 振荡器继续运行或开始运行 ( 如在休眠模式下 ) 程序计数器 (R2) 设置为全 "0" 3 VDD=5V, WDT 溢出周期 = 16.5ms ± 30%. VDD=3V, WDT 溢出周期 = 18ms ± 30%. 4 VDD=5V, WDT 溢出周期 = 4.2ms ± 30%. VDD=3V, WDT 溢出周期 = 4.5ms ± 30%. 产品规格书 (V1.5)

38 所有的 I/O 引脚设置为输入模式 ( 高阻态 ) 看门狗定时器和分频器清零 上电时,R3 的高三位被清零 IOCB0 寄存器的所有位被置 1 IOCC0 寄存器的所有位被置 1 IOCD0 寄存器的所有位被置 1 IOCE0 寄存器的位 7, 位 5, 和位 4 清零 RE 寄存器的位 5 和位 4 清零 RF 和 IOCF0 寄存器清零执行 SLEP 指令可进入休眠 ( 低功耗模式 ) 模式 进入休眠模式时, 振荡器,TCC,TCCA, TCCB 和 TCCC 都停止工作 WDT( 若使能 ) 被清除但仍继续运行 在 A/D 转换期间, 执行 SLEP 指令, 振荡器,TCC,TCCA,TCCB 和 TCCC 将继续运行,WDT( 若使能 ) 被清除但仍继续运行 控制器可由以下几种情况唤醒 : 情况 1 /RESET 引脚上有外部复位信号输入情况 2 WDT 溢出 ( 若使能 ) 情况 3 Port5 引脚输入状态改变 ( 若 ICWE 使能 ) 情况 4 比较器输出状态改变 ( 若 CMPWE 使能 ) 情况 5 A/D 转换完成 ( 若 ADWE 使能 ) 前两种情况 (1 和 2) 将引起 EM78P259N 复位 R3 的 T 和 P 标志位可用于决定复位 ( 唤醒 ) 源 第 种情况下, 唤醒后将会继续执行程序和进入中断, 由全局中断 ( 执行 ENI 或者 DISI) 决定唤醒后是否进入中断向量 如果在 SLEP 之前执行了 ENI 指令, 唤醒后程序将从地址 0X06( 情况 3) 0X0F( 情况 4) 0X0C( 情况 5) 开始执行 如果在 SLEP 之前执行了 DISI 指令, 唤醒后程序将紧接着 SLEP 的下一条指令开始执行 在进入 SLEEP 模式之前, 在情况 2 和情况 5 之中只有一种可以被使能 即 : 情况 [a] 情况 [b] 情况 [c] 如果执行 SLEP 之前 WDT 使能,RE 所有位禁止使能, 此时,EM78P259N 仅可由情况 1 或情况 2 唤醒, 具体的详细说明请参考中断部分 ( 下面的 6.6 节 ) 如果执行 SLEP 之前,Port5 输入状态改变用来唤醒 EM78P259N 且 RE 寄存器的 ICWE 位为使能,WDT 必须禁止, 因此,EM78P259N 仅可由情况 3 唤醒, 唤醒时间依振荡器的模式而定 在 RC 模式下复位时间是 32 个时钟周期 ( 对于性能稳定的振荡器 ) 在高 XTAL 模式下, 复位时间是 2ms 和 32 个时钟周期 ( 对于性能稳定的振荡器 )); 在低 XTAL 模式下, 复位时间是 500ms 如果执行 SLEP 之前, 比较器输出状态改变被用来唤醒 EM78P259N 且 RE 寄存器的 CMPWE 位为使能, 则 WDT 必须由软件禁止 因此,EM78P259N 仅可由情况 4 唤醒, 唤醒时间依振荡器模式而定 在 RC 模式下复位时间是 32 个时钟 32 产品规格书 (V1.5)

39 周期 ( 对于性能稳定的振荡器 ) 在高 XTAL 模式下, 复位时间是 2ms 和 32 个时钟周期 ( 对于性能稳定的振荡器 ); 在低 XTAL 模式下, 复位时间是 500ms 情况 [d] 如果执行 SLEP 之前,AD 转换完成用于唤醒 EM78P259N 且 RE 寄存器的 ADWE 位为使能, WDT 必须由软件禁止 因此,EM78P259N 仅可由情况 5 唤醒 唤醒时间是 15TAD(ADC 时钟周期 ) 如果 Port5 输入状态改变产生中断用于唤醒 EM78P259N( 如上述的情况 [b], 在 SLEP 指 令前必须执行以下指令 : BC R3, 7 ; 选择控制寄存器段 0 MOV ; 关 WDT 和选择 WDT 预分频比 IOW IOCE0 WDTC ; 清 WDT MOV R5, R5 ; 读 Port 5 ENI ( 或 DISI) ; 使能 ( 或禁止使能 ) 全局中断 MOV ; 使能 Port 5 输入状态改变唤醒位 MOV RE MOV ; 使能 Port 5 输入状态改变唤醒 IOW IOCF0 SLEP ; 休眠 同样地, 如果比较器输出状态改变中断用于唤醒 EM78P259N( 如上述的情况 [C]), 在执 行指令 SLEP 前必须执行以下指令 : BC R3, 7 ; 选择控制寄存器段 0 MOV ; 选择比较器且 P64 作为 CO 引脚 IOW IOC80 MOV ; 选择 WDT 预分频比和关 WDT 并使能比较器输出状态改变中断 IOW IOCE0 WDTC ; 清 WDT ENI ( 或 DISI) ; 使能 ( 或禁止使能 ) 全局中断 MOV ; 使能比较器输出状态改变唤醒位 MOV RE SLEP ; 休眠 产品规格书 (V1.5)

40 唤醒和中断模式操作概述 以下所示为唤醒和中断模式下所有的情况 : 信号休眠模式正常模式 INT 引脚 Port 5 输入状态改变 TCC 溢出 AD 转换 NA RE (ICWE) Bit1=0, IOCF0 (ICIE) Bit1=0 振荡器, TCC, TCCX 和 IR/PWM 停止工作. Port 5 输入状态改变唤醒失效 RE (ICWE) Bit1=0, IOCF0 (ICIE) Bit1=1 置 RF (ICIF)=1, 振荡器, TCC, TCCX 和 IR/PWM 停止工作. Port 5 输入状态改变唤醒失效. RE (ICWE) Bit1=1, IOCF0 (ICIE) Bit1=0 唤醒 + 下一条指令 振荡器, TCC, TCCX 和 IR/PWM 停止工作. RE (ICWE) Bit1=1, DISI + IOCF0 (ICIE) Bit1=1 唤醒 + 下条指令 + 置 RF (ICIF)=1 振荡器, TCC, TCCX 和 IR/PWM 停止工作. RE (ICWE) Bit1=1, ENI + IOCF0 (ICIE) Bit1=1 唤醒 + 中断向量 (006H) + 置 RF (ICIF)=1 振荡器, TCC, TCCX 和 IR/PWM 停止工作. NA RE (ADWE) Bit3=0, IOCE0 (ADIE) Bit5=0 清 R9 (ADRUN)=0, ADC 停止工作 AD 转换唤醒失效. 振荡器, TCC, TCCX 和 IR/PWM 停止工作. RE (ADWE) Bit3=0, IOCE0 (ADIE) Bit5=1 置 RF (ADIF)=1, R9 (ADRUN)=0, ADC 停止工作, AD 转换唤醒失效. 振荡器, TCC, TCCX 和 IR/PWM 停止工作. RE (ADWE) Bit3=1, IOCE0 (ADIE) Bit5=0 唤醒 + 下条指令, 振荡器, TCC, TCCX 和 IR/PWM 继续运行. 当 ADC 转换完成时唤醒. RE (ADWE) Bit3=1, DISI + IOCE0 (ADIE) Bit5=1 唤醒 + 下条指令 + RE (ADIF)=1, 振荡器, TCC, TCCX 和 IR/PWM 继续运行. 当 ADC 完成时唤醒. RE (ADWE) Bit3=1, ENI + IOCE0 (ADIE) Bit5=1 唤醒 + 中断向量 (00CH)+ RE (ADIF)=1, 振荡器, TCC, TCCX 和 IR/PWM 继续运行 ADC 完成时唤醒. DISI + IOCF0 (EXIE) Bit2=1 下条指令 + 置 t RF (EXIF)=1 ENI + IOCF0 (EXIE) Bit2=1 中断向量 (003H) + 置 t RF (EXIF)=1 IOCF0 (ICIE) Bit1=0 Port 5 输入状态改变中断失效 NA NA NA NA DISI + IOCF0 (ICIE) Bit1=1 下条指令 + 置 t RF (ICIF)=1 ENI + IOCF0 (ICIE) Bit1=1 中断向量 (006H)+ 置 RF (ICIF)=1 DISI + IOCF0 (TCIE) Bit0=1 下条指令 + 置 RF (TCIF)=1 ENI + IOCF0 (TCIE) Bit0=1 中断向量 (009H) + 置 RF (TCIF)=1 IOCE0 (ADIE) Bit5=0 AD 转换中断失效 NA NA NA NA DISI + IOCE0 (ADIE) Bit5=1 下条指令 + RE (ADIF)=1 ENI + IOCE0 (ADIE) Bit5=1 中断向量 (00CH) + 置 RE (ADIF)=1 34 产品规格书 (V1.5)

41 比较器 信号休眠模式正常模式 ( 比较器输出状态改变 ) IR/PWM 下溢中断 ( 定时器高脉冲下溢中断 ) IR/PWM 下溢中断 ( 定时器低脉冲下溢中断 ) TCCA 溢出 TCCB 溢出 TCCC 溢出 WDT 溢出 IOCE (WDTE) Bit7=1 RE (CMPWE) Bit2=0, IOCE0 (CMPIE) Bit4=0 比较器输出状态改变唤醒功能失效. 振荡器, TCC, TCCX 和 IR/PWM 停止工作 RE (CMPWE) Bit2=0, IOCE0 (CMPIE) Bit4=1 置 RE (CMPIF)=1, 比较器输出状态改变唤醒功能失效 振荡器, TCC, TCCX 和 IR/PWM 停止工作 RE (CMPWE) Bit2=1, IOCE0 (CMPIE) Bit4=0 唤醒 + 下条指令, 振荡器, TCC, TCCX 和 IR/PWM 停止工作. RE (CMPWE) Bit2=1, DISI + IOCE0 (CMPIE) Bit4=1 唤醒 + 下条指令 + 置 RE (CMPIF)=1, 振荡器, TCC, TCCX 和 IR/PWM 停止工作 RE (CMPWE) Bit2=1, ENI + IOCE0 (CMPIE) Bit4=1 唤醒 + 中断向量 (00FH) + 置 RE (CMPIF)=1, 振荡器, TCC, TCCX 和 IR/PWM 停止工作 NA NA NA NA NA IOCF0 (CMPIE) Bit4=0 比较器输出状态改变中断功能失效. NA NA NA NA DISI + IOCE0 (CMPIE) Bit4=1 下条指令 + 置 RE (CMPIF)=1 ENI + IOCE0 (CMPIE) Bit4=1 中断向量 (00FH) + 置 RE (CMPIF)=1 DISI + IOCF0 (HPWTIE) Bit6=1 下条指令 + 置 RF (HPWTIF)=1 ENI + IOCF0 (HPWTIE) Bit6 =1 中断向量 (012H) + 置 RF (HPWTIF)=1 DISI + IOCF0 (LPWTIE) Bit7=1 下条指令 + 置 RF (LPWTIF)=1 ENI + IOCF0 (LPWTIE) Bit7 =1 中断向量 (015H) + 置 RF (LPWTIF)=1 DISI + IOCF0 (TCCAIE) Bit3=1 下条指令 + 置 RF (TCCAIF)=1 ENI + IOCF0 (TCCAIE) Bit3=1 中断向量 (018H) + 置 RF (TCCAIF)=1 DISI + IOCF0 (TCCBIE) Bit4=1 下条指令 + 置 RF (TCCBIF)=1 ENI + IOCF0 (TCCBIE) Bit4=1 中断向量 (01BH) + 置 RF (TCCBIF)=1 DISI + IOCF0 (TCCCIE) Bit5=1 下条指令 + 置 RF (TCCCIF)=1 ENI + IOCF0 (TCCCIE) Bit5=1 唤醒 + 复位 ( 地址 0x00) 复位 ( 地址 0x00) 中断向量 (01EH) + 置 RF (TCCCIF)=1 产品规格书 (V1.5)

42 复位后寄存器的初始值 寄存器初始值概述如下表 : 地址名称复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 N/A N/A N/A N/A N/A N/A N/A N/A IOC50 IOC60 IOC70 IOC80 IOC90 IOCA0 (IR CR) IOCB0 (PDCR) IOCC0 (ODCR) 位名 C57 C56 C55 C54 C53 C52 C51 C50 类型 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 C67 C66 C65 C64 C63 C62 C61 C60 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 C70 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 CMPOUT COS1 COS0 TCCAEN TCCATS TCCATE 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCBHE TCCBEN TCCBTS TCCBTE TCCCEN TCCCTS TCCCT E 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCCSE TCCCS2 TCCCS1 TCCCS0 IRE HF LGP IROUTE 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 /PD57 /PD56 /PD55 /PD54 /PD53 /PD52 /PD51 /PD50 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 /OD67 /OD66 /OD65 /OD64 /OD63 /OD62 /OD61 /OD60 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 36 产品规格书 (V1.5)

43 地址名称复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 N/A N/A N/A N/A N/A N/A N/A N/A IOCD0 (PHCR) IOCE0 IOCF0 IOC51 (TCCA) IOC61 (TCCB) IOC71 (TCCBH) IOC81 (TCCC) IOC91 (LTR) 位名 /PH57 /PH56 /PH55 /PH54 /PH53 /PH52 /PH51 /PH50 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 WDTC EIS ADIE CMPIE PSWE PSW2 PSW1 PSW0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 LPWTIE HPWTIE TCCCIE TCCBIE TCCAIE EXIE ICIE TCIE 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCA7 TCCA6 TCCA5 TCCA4 TCCA3 TCCA2 TCCA1 TCCA0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCB7 TCCB6 TCCB5 TCCB4 TCCB3 TCCB2 TCCB1 TCCB0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCBH7 TCCBH6 TCCBH5 TCCBH4 TCCBH3 TCCBH2 TCCBH1 TCCBH0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCC7 TCCC6 TCCC5 TCCC4 TCCC3 TCCC2 TCCC1 TCCC0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 LTR7 LTR6 LTR5 LTR4 LTR3 LTR2 LTR1 LTR0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 产品规格书 (V1.5)

44 地址名称复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 N/A N/A N/A N/A 0x00 0x01 0x02 0x03 IOCA1 (HTR) IOCB1 (HLTS) IOCC1 (TCCPC) CONT R0(IAR) R1(TCC) R2(PC) R3(SR) 位名 HTR7 HTR6 HTR5 HTR4 HTR3 HTR2 HTR1 HTR0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 HTSE HTS2 HTS1 HTS0 LTSE LTS2 LTS1 LTS0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 TCCPC7 TCCPC6 TCCPC5 TCCPC4 TCCPC3 TCCPC2 TCCPC1 TCCPC0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 INTE INT TS TE PSTE PST2 PST1 PST0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 上电复位 U U U U U U U U /RESET 引脚复位和 WDT 复位 P P P P P P P P 引脚状态改变唤醒 P P P P P P P P 位名 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 跳转到地址 0x06 或继续执行下条指令 位名 RST IOCS PS0 T P Z DC C 上电复位 U U U /RESET 引脚复位和 WDT 复位 T t P P P 引脚状态改变唤醒 P P P T t P P P 38 产品规格书 (V1.5)

45 地址名称复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 0x04 0x05 0x06 0x7 0x8 0x9 0xA 0XB R4(RSR) R5 R6 R7 R8 (AISR) R9 (ADCON) RA (ADOC) RB (ADDATA) 位名 BS 上电复位 0 0 U U U U U U /RESET 引脚复位和 WDT 复位 0 0 P P P P P P 引脚状态改变唤醒 0 P P P P P P P 位名 P57 P56 P55 P54 P53 P52 P51 P50 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 P67 P66 P65 P64 P63 P62 P61 P60 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 P70 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 ADE3 ADE2 ADE1 ADE0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P 位名 VREFS CKR1 CKR0 ADRUN ADPD ADIS1 ADIS0 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P 0 P P 位名 CALI SIGN VOF[2] VOF[1] VOF[0] 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 上电复位 U U U U U U U U /RESET 引脚复位和 WDT 复位 U U U U U U U U 引脚状态改变唤醒 P P P P P P P P 产品规格书 (V1.5)

46 地址名称复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 0XC 0XD 0xE 0xF 0x10~0x3F RC (ADDATA1H) RD (ADDATA1L0) RE (ISR2) RF (ISR1) R10~R3F 位名 AD11 AD10 AD9 AD8 上电复位 U U U U /RESET 引脚复位和 WDT 复位 U U U U 引脚状态改变唤醒 P P P P 位名 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 上电复位 U U U U U U U U /RESET 引脚复位和 WDT 复位 U U U U U U U U 引脚状态改变唤醒 P P P P P P P P 位名 - ADIF CMPIF ADWE CMPWE ICWE 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 LPWTIF HPWTIF TCCCIF TCCBIF TCCAIF EXIF ICIF TCIF 上电复位 /RESET 引脚复位和 WDT 复位 引脚状态改变唤醒 P P P P P P P P 位名 上电复位 U U U U U U U U /RESET 引脚复位和 WDT 复位 P P P P P P P P 引脚状态改变唤醒 P P P P P P P P 符号说明 : : 未使用 U: 不确定 P: 复位前的值 t: 对照 节的表格 复位控制器结构图 VDD D Q CLK Oscillator CLK CLR Power-on Reset Voltage Detector ENWDTB WDT WDT Timeout Setup time Reset /RESET 图 6-7 复位控制器电路结构图 40 产品规格书 (V1.5)

47 6.5.2 状态寄存器 (R3) 的 T, P 状态 复位由下列条件之一引起 : 1. 上电复位 2. /RESET 引脚输入低电平 3. WDT 溢出 ( 若使能 ) RST, T, 和 P 的值如下表所示, 用于检测控制器是如何唤醒的 : 复位类型 RST T P 上电复位 在运行模式 /RESET 引脚复位 0 *P *P 在休眠模式唤醒 /RESET 引脚复位 在运行模式 WDT 复位 休眠模式 WDT 唤醒 休眠模式引脚状态改变 可能影响 T 和 P 值的事件, 见下表 : *P: 复位前状态 事件 RST T P 上电 WDTC 指令 *P 1 1 WDT 溢出 0 0 *P SLEP 指令 *P 1 0 休眠模式下引脚状态改变唤醒 中断 EM78P259N 有六个中断源, 如下 : 1. TCC, TCCA, TCCB, TCCC 溢出中断 2. Port 5 输入状态改变中断 3. 外部中断 [(P60, /INT) 引脚 4. A/ D 转换结束 5. IR/PWM 下溢中断 6. 比较器状态改变 *P: 复位前状态 在 Port5 输入状态改变中断使能前, 读 Port5( 例如 :"MOV R5,R5") 是必要的 Port5 每个引脚均具有这个功能 在执行 SLEP 指令前, 如果 Port5 输入状态改变中断被使能, Port5 输入状态改变会将 EM78P259N 从休眠模式唤醒 如果总的中断被禁止, 唤醒后控 制器将向下连续逐行地执行程序 如果总的中断使能, 程序将分支到中断向量地址 006H 外部中断带有片内数字噪声抑制电路 输入脉冲小于 8 个系统时钟周期视为噪音 但在 低频晶体振荡器 (LXT) 模式下的噪声抑制电路将被禁止 由 CONT 寄存器的 INTE 位选 产品规格书 (V1.5)

48 择触发边沿 当由外部产生中断时 ( 若使能 ), 下一个指令将从向量地址 003H 获取 数字噪声抑制定义请参考 Word 1 的位 8 位 9( 节的代码选项寄存器 (Word 1)) RF 和 RE 是中断状态寄存器, 它的相关标志位记录了中断请求状态 IOCF0 和 IOCE0 是中断屏蔽寄存器 执行指令 ENI 使能总中断, 执行 DISI 指令禁止总中断 在中断程序中, 通过查询 RF 的标志位来确定中断源 在离开中断程序前, 必须用指令清除中断标志, 以免发生重复中断 中断状态寄存器 (RF) 的标志位 ( 除 ICIF 位外 ) 的置位, 与是否执行了 ENI 指令无关 注意读取 RF 的值是 RF 和 IOCF0 逻辑与的结果 ( 参见下图 ),RETI 指令结束中断子程序并使能总的中断 ( 自动执行 ENI) 当一个中断由定时器时钟 / 计数器产生 ( 若使能 ), 下一条指令将从地址 009,018,01B, 和 01EH(TCC,TCCA,TCCB, 和 TCCC) 获取 当一个中断由 A/D 转换完成后产生 ( 若使能 ), 下一条指令将从地址 00CH 中获取 当一个中断由高电平 / 低电平减计数器下溢产生 ( 若使能 ), 下一条指令将从地址 012H 和 015H 获取 ( 分别是高电平和低电平 ) 当一个中断由比较器状态改变产生 ( 若使能 ), 下一条指令将从地址 00FH 获取 ( 比较器中断 ) 在中断子程序被执行前,ACC,R3,R4 寄存器的内容将由硬件保护, 如果另一个中断产生, ACC,R3 和 R4 寄存器的内容将被新的中断替换 中断子程序完成后,ACC, R3, R4 寄存器的内容将被恢复 VCC /IRQn P D R CLK C L RF Q _ Q RFRD IRQn IRQm ENI/DISI INT Q P R D IOD IOCF _ Q CLK C L IOCFWR /RESET IOCFRD RFWR 图 6-8 中断输入电路 42 产品规格书 (V1.5)

49 Interrupt sources ENI/DISI ACC R3 R4 Interrupt occurs RETI STACKACC STACKR3 STACKR4 图 6-9 中断保护电路图 在 EM78P259N 中, 每个不同的中断源都有各自的中断向量, 详见下表 : 中断向量 中断状态 优先级 * 003H 外部中断 1 006H Port 5 引脚状态改变中断 2 009H TCC 溢出中断 3 00CH AD 转换完成中断 4 00FH 比较器中断 5 012H 高脉宽定时器下溢中断 6 015H 低脉宽定时器下溢中断 7 018H TCCA 溢出中断 8 01BH TCCB 溢出中断 9 01EH TCCC 溢出中断 10 * 优先级 : 1 = 最高 ; 10 = 最低 6.7 A/D 转换 (ADC) A/D 转换电路包括一个 4 位模拟多路转换器, 三个控制寄存器 (AISR/R8, ADCON/R9, ADOC/RA), 三个数据寄存器 ((ADDATA/RB, ADDATA1H/RC, 和 ADDATA1L/RD) 和一个 12 位精度的 AD 转换器, 功能方框图如下 模拟参考电压 (Vref) 和模拟地由不同引脚接入 接入外部 VREF 电压比接入内部 VDD 更精确 ADC 模块采用逐次逼近式把未知的模拟信号转换为数字值 其结果存入 ADDATA, ADDATA1H 和 ADDATA1L 中 通过 ADCON 寄存器的 ADIS1 和 ADIS0 位的设置来选择输入通道 产品规格书 (V1.5)

50 8-1 Analog Switch EM78P259N Vref ADC3 ADC2 ADC1 ADC ( successive approximation ) Start to Convert Power-Down ADC0 Fsco 4-1 MUX Internal RC 7 ~ AISR ADCON ADCON RF ADDATA1H ADDATA1L ADCON DATA BUS 图 6-10 模数转换功能框图 ADC 控制寄存器 (AISR/R8, ADCON/R9, ADOC/RA) R8 (AISR: ADC 输入选择寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 ADE3 ADE2 ADE1 ADE0 AISR 寄存器各控制位分别定义 Port 5 各引脚为模拟输入或是数字 I/O 引脚 Bits 7 ~ 4: 未使用 Bit 3 (ADE3): P53 引脚 AD 转换使能位 0: 禁止 ADC3, P53 作为 I/O 引脚 1: 使能 ADC3, 作为模拟输入脚 Bit 2 (ADE2): P52 引脚 AD 转换使能位 0: 禁止 ADC2, P52 作为 I/O 引脚 1: 使能 ADC2, 作为模拟输入脚 Bit 1 (ADE1): P51 引脚 AD 转换使能位 0: 禁止 ADC1, P5 作为 I/O 引脚 1: 使能 ADC1, 作为模拟输入脚 Bit 0 (ADE0): P50 引脚 AD 转换使能位 0: 禁止 ADC0, P50 作为 I/O 引脚 1: 使能 ADC0, 作为模拟输入脚 44 产品规格书 (V1.5)

51 R9 (ADCON: ADC 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 VREFS CKR1 CKR0 ADRUN ADPD - ADIS1 ADIS0 ADCON 寄存器控制 AD 转换器的操作以及确定当前哪个引脚当前有效 Bit 7(VREFS): ADC 参考电压 Vref 输入源 0: 以工作电压 VDD 为 ADC 的参考电压 ( 默认值 ),P54/VREF 引脚执行 P54 功能 1: 引脚 P54/VREF 上的电压为 ADC 的参考电压 注意 P54/TCC/VREF 引脚不能同时用作 TCC 和 VREF 如果 P54/TCC/VREF 作为 VREF 模拟输入脚, 则 CONT 寄存器的第 5 位 TS 必须清 0 P54/TCC/VREF 脚位优先级如下 : P54/TCC/VREF 引脚优先级 高中低 VREF TCC P54 Bit 6 ~ Bit 5 (CKR1 ~ CKR0): AD 转换的振荡器时钟预分频比 00 = 1: 16 ( 默认值 ) 01 = 1: 4 10 = 1: = 1: WDT 环形振荡器频率 CKR1:CKR0 工作模式最大工作频率 00 Fosc/16 4 MHz 01 Fosc/4 1 MHz 10 Fosc/64 16 MHz 11 内部 RC Bit 4 (ADRUN): ADC 开始运行位. 0: 转换完成时复位, 但不可由软件复位 1: A/D 转换开始, 该位可由软件置位 Bit 3 (ADPD): ADC 低功耗模式 0: 关闭 ADC 参考电阻使其进入低功耗状态, 尽管此时 CPU 可能仍在工作 1: ADC 处于运行状态 Bit 2: 未使用 Bit 1 ~ Bit 0 (ADIS1 ~ ADIS0): 模拟输入选择 00 = ADIN0/P50 01 = ADIN1/P51 产品规格书 (V1.5)

52 10 = ADIN2/P52 11 = ADIN3/P53 只有在 ADIF 位和 ADRUN 位均为 0 时才可修改这两位 RA (ADOC: AD 补偿校准寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CALI SIGN VOF[2] VOF[1] VOF[0] Bit 7 (CALI): ADC 校准使能位 0: 禁止校准 1: 使能校准 Bit 6 (SIGN): 补偿电压极性选择位 0: 负电压 1: 正电压 Bit 5 ~ Bit 3 (VOF[2] ~ VOF[0]): 补偿电压位 VOF[2] VOF[1] VOF[0] EM78P259N ICE259N LSB 0LSB LSB 1LSB LSB 2LSB LSB 3LSB LSB 4LSB LSB 5LSB LSB 6LSB LSB 7LSB Bit 2 ~ Bit 0: 未使用, 读取为 ADC 数据寄存器 (ADDATA/RB, ADDATA1H/RC, ADDATA1L/RD) 当 A/D 转换完成时, 其结果载入 ADDATA, ADDATA1H 和 ADDATA1L 寄存器 ADRUN 位清零 ADIF 位置 ADC 采样时间 逐次逼近式 AD 转换的精确性 线性 速度由 ADC 和比较器的特性决定 源电阻和内部 采样电阻直接影响采样保持电容充电所需时间 应用过程控制采样时间长短以满足特定 精度的需要 总的来说, 对于每 K 模拟源电阻, 程序应等待 2μs 对于低阻源应至少等 待 2μs Vdd=5V 时, 建议源电阻的最大阻抗为 10K 模拟输入通道选定后, 在转换开 始前需等待时间应先满足 46 产品规格书 (V1.5)

53 6.7.4 AD 转换时间 CKR1 和 CKR0 依照指令周期来选择转换时间 (Tct) 在不影响 A/D 转换精度的条件下, 它允许 MCU 以最高频率运行 对于 EM78P259N, 每位转换时间约是 4μs 下列表列出了 Tct 和最大工作频率的关系 CKR1:CKR0 工作模式 最大工作频率 最大转换率 / 位 最大转换率 00 Fosc/16 4 MHz 250kHz (4 s) 15*4 s=60 s (16.7kHz) 01 Fosc/4 1 MHz 250kHz (4 s) 15*4 s=60 s (16.7kHz) 10 Fosc/64 16 MHz 250kHz ( 4 s) 15*4 s=60 s (16.7kHz) 11 内部 RC 14kHz (71 s) 15*71 s=1065 s (0.938kHz) 注意 没有被用作模拟输入脚的引脚可用作通用输入或输出脚 转换期间, 不要执行输出指令以维持所有引脚的精度 休眠期间的 A/D 转换 为了获得更精确的 ADC 值和减少功耗,A/D 转换可以在休眠模式下进行 当执行 SLEP 指令, 除了振荡器 TCC TCCA TCCB TCCC 和 A/D 转换外, 所有的 MCU 操作都会停止 通过以下情况判断 AD 转换已经完成 : 1. R9 寄存器的 ADRUN 位被清除 ( 值为 0 ) 2. RE 寄存器的 ADIF 位置 1 3. 从 ADC 转换唤醒 ( 在休眠模式期间它保持运行状态 ),RE 寄存器的 ADWE 位置 1 4. 如果 IOCE0 的 ADIE 位使能, 并执行 DISI 指令, 唤醒休眠后执行下一条指令 5. 如果 IOCE0 的 ADIE 位使能, 并执行 ENI 指令, 唤醒并进入中断向量 ( 地址 0x00C) 6. 如果 IOCE0 的 ADIE 位使能, 并执行 ENI 指令, 进入中断向量 ( 地址 0x00C) 当转换结束后, 转换的结果载入 ADDATA, ADDATA1H 和 ADDATA1L 寄存器中 如果 ADIE 使能, 单片机将被唤醒 否则, 无论 ADPD 位的状态如何,A/D 转换器都被关闭 编程步骤 / 考虑的事项 编程步骤按以下步骤完成 A/D 转换 : 1. 设置 R8(AOSR) 寄存器的 4 个位 (ADE3:ADE0) 来定义 R5 寄存器的特性 ( 数字 I/O 引脚, 模拟信道, 以及参考电压引脚 ) 2. 设置 R9/ADCON 寄存器来设定 AD 模块 : a) 选择 A/D 转换输入通道 ( ADIS1:ADIS0 ) b) 定义 A/D 转换分频比 ( CKR1:CKR0 ) 产品规格书 (V1.5)

54 c) 选择 ADC 参考电压的输入源 d) 置 ADPD 位为 1, 开始采样 3. 若使用唤醒功能, 置 ADWE 位为 1 4. 若使用中断功能, 置 ADIE 位为 1 5. 若使用中断功能, 下 ENI 指令 6. 置 ADRUN 位为 1 7. 下 SLEP 指令或循环检测 8. 等待唤醒,ADRUN 位清除 ( 值为零 ), 中断标志 (ADIF) 置 1 或 ADC 中断发生 9. 读转换数据寄存器的 ADDATA 或 ADDATA1H 和 ADDATA1L 的值 如果此时 ADC 输入通道变化,ADDATA, ADDATA1H, 和 ADDATA1L 值被清 清除中断标志位 (ADIF) 11. 根据需要, 下一个转换程序, 跳到步骤 1 或步骤 2 下一次采样之前, 至少等待 2 个 Tct 注意 为了获得准确的值, 必须避免 AD 转换时 I/O 端口有任何数据传输 范例 A. 定义通用寄存器 R_0 == 0 PSW == 3 Port5 == 5 Port6 == 6 R E== 0XE ; 间接寻址寄存器 ; 状态寄存器 ; 中断状态寄存器 B. 定义控制寄存器 IOC50 == 0X5 ; Port 5 控制寄存器 IOC60 == 0X6 ; Port 6 控制寄存器 IOCE0== 0XE ; 中断控制寄存器 2 C_INT== 0XF ; 中断控制寄存器 1 C. ADC 控制寄存器 ADDATA == 0xB ; 其值是 ADC 的结果 AISR == 0x08 ; ADC 输入选择寄存器 ADCON == 0x9 ; ; VREFS CKR1 CKR0 ADRUN ADPD ADIS2 ADIS1 ADIS0 D. 定义 ADCON 寄存器中的位 ADRUN == 0x4 ADPD == 0x3 E. 程序开始 ; ADC 启动位 ; ADC 低功耗模式位 ORG 0 JMP INITIAL ; 初始化地址 ; 48 产品规格书 (V1.5)

55 ORG 0x0C JMP CLRRE ; ; ;( 客户程序部分 ) ; ; CLRRE: MOV A,RE AND MOV RE,A BS ADCON, ADRUN ; 中断向量 ; 清除 ADIF 位, X 根据应用而定 ; 如需要, 开始执行下一个 AD 转换 RETI INITIAL: MOV A,@0B MOV AISR,A MOV A,@0B MOV ADCON,A En_ADC: MOV IOW Port5 MOV 要设置 MOV RE,A MOV IOW IOCE0 ENI BS ADCON, ADRUN ; 选择 P50 为模拟输入 ; 选择 P50 为模拟输入通道, 并且 AD 上电 ; 定义 P50 为输入脚, 分频比设置为 fosc/16 ; 定义 P50 为输入脚, 其它位根据需要设置 ; ; 使能 ADC 唤醒功能 (ADWE), X 根据需 ; 使能 ADC 中断功能 (ADIE), X 根据需要设置 ; 使能全局中断 ; 启动运行 ADC ; 若使用中断功能, 以下三行可忽略 ; 若进入休眠模式 : SLEP ; ;( 用户程序部分 ) ; ; 或 ; 若循环查询 : POLLING: JBC ADCON, ADRUN JMP POLLING ; 连续检测 ADRUN 位 ; ; AD 转换结束后,ADRUN 位清 0; 产品规格书 (V1.5)

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

Chapter 1

Chapter 1 8 位 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2016.3 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2016 义 隆 电 子 股 份 有

More information

Chapter 1

Chapter 1 产品规格书 版本 1.3 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc 8 位微控制器 产品规格书 版本 2.2 义隆电子股份有限公司 2010.04 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有

More information

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc 产品规格书 版本 1.0 义隆电子股份有限公司 2010.03 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2009~2010

More information

一、

一、 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序.

More information

untitled

untitled 8-Bit Microcontroller with Product Specification DOC. VERSION 1.4 ELAN MICROELECTRONICS CORP. July 2004 Trademark Acknowledgments: IBM is a registered trademark and PS/2 is a trademark of IBM. Windows

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

(CN)EM78P v

(CN)EM78P v 8 位 OTP ROM 微控制器 产品规格书 版本 1.7 义隆电子股份有限公司 2013.12 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2013 义隆电子股份有限公司所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

EM65101

EM65101 8 位 微 控 制 器 产 品 规 格 书 版 本 2.3 义 隆 电 子 股 份 有 限 公 司 2013.05 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2013 义 隆 电 子 股 份 有

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Chapter 1

Chapter 1 产品规格书 版本 1.2 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Chapter 1

Chapter 1 8 位 OTP 微控制器 产品规格书 版本 1.7 义隆电子股份有限公司 2016.03 商标告之 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留 台湾印制 本规格书内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

EMC单片机学习笔记.doc

EMC单片机学习笔记.doc EMC 单片机学习笔记 (0) 引子因为工作的缘故, 必须学习 EMC 单片机了 我会把我的学习历程写下来, 算是对坛子的一点贡献, 也算是自己的一个总结吧 因为以前学过 51 的和 PIC HOLTEK 的单片机, 并且也大致了解过 EMC 的指令集, 所以学起来并不是太难 为了学习, 而又没有仿真器, 于是去 emc 的网站下载了一个 simulator 来软件仿真 第一感觉还不错, 把里面的例子程序跑了一下,

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

Microsoft Word - PDF_EM78F64X_54XSeriesProdSpec__CN__v1.2.doc

Microsoft Word - PDF_EM78F64X_54XSeriesProdSpec__CN__v1.2.doc EM7F 64/644/642/641N 54/544/542/541N 位 闪 存 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2013.02 本 的 错 随 文 是 原 内 为 稿 容 了 的 是 更 由 的 新 英 阅, 读 文 规 更 中 格 加 可 书 方 能 翻 便 存 译 在 而 翻 它 来 译 无 上 法, 的 跟 目 确 误 的 信,

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或 8 位 OTPROM 微控制器 产品规格书 版本 1.4 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

BJ2C004ANB

BJ2C004ANB BJ2C004A 规 格 书 (v1.0) 1 目录 1. 概叙... 4 2. 功能特性... 4 3. 功能框图...5 4. 管脚图... 5 4.1 管脚功能描述...6 5 存储器结构... 7 5.1 程序存储器...7 5.2 数据存储器... 7 6 功能介绍... 9 6.1 寄存器操作... 9 6.1.1 INDF ( 间接寻址寄存器 )... 9 6.1.2 TMR0 ( 定时

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2015 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或

商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2015 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或 产品规格书 版本 1.0 义隆电子股份有限公司 2016.07 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2015 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

(CN)EM78P374N ver1.8_

(CN)EM78P374N ver1.8_ 产品规格书 版本 1.8 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

DL1621-1

DL1621-1 General Description: DL1621-1, 含 LCD 的控制线路, 搭配 MCU 来使用, 将使使用者的成本降低, 以及应用更加. 宽广 Features: 工作电压 2.4V-5.5V 系统频率 : - 内建 RC 振荡器 (256Khz) - 外挂 32768Hz 晶振 - 外灌 256Khz 输入 ( 由 OSCI 脚 ) 提供简单 3 pins 串接接口 (CKRB/ CKWB/

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

何宇

何宇 MXT08 型电路 目录 概述 :... 特性 :... 电路结构图 :... 5 引脚坐标 :... 4 6 主要信号说明 :... 5 7 绝对最大额定值 :...6 8 电气特性 :... 6 9 LCD 参考图 :... 6 0 流程图 :... 7 功能描述 :...8 应用电路图 :... 9 概述 : MXT08 为一款高效能 CMOS 数字临床测量人体体温 IC, 测量范围从.0 C~4.9

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Section1_16bit Arc.ppt

Section1_16bit Arc.ppt 16-bit Elite Program 2009 Summer Section-1 Microchip 16-bit 2005 Microchip Technology Incorporated. All Rights Reserved. Slide 1 MCU CPU (NOP),, (Data Memory), I/O CPU w. ALU/Working Reg. Data Mem. Data

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information