(CN)EM78P v

Size: px
Start display at page:

Download "(CN)EM78P v"

Transcription

1 8 位 OTP ROM 微控制器 产品规格书 版本 1.7 义隆电子股份有限公司

2 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2013 义隆电子股份有限公司所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任 义隆电子股份有限公司不承诺对本使用说明文件之内容及信息有更新及校正之义务 本规格书的内容及信息将为符合确认之指示而变更 在任何情况下, 义隆电子股份有限公司对本使用说明文件中的信息或内容的错误 遗漏, 或者其它不准确性不承担任何责任 由于使用本使用说明文件中的信息或内容而导致的直接, 间接, 特别附随的或结果的损害, 义隆电子股份有限公司没有义务负责 本规格书中提到的软件 ( 如果有 ), 都是依据授权或保密合约所合法提供的, 并且只能在这些合约的许可条件下使用或者复制 义隆电子股份有限公司的产品不是专门设计来应用于生命维持的用具, 装置或者系统 义隆电子股份有限公司的产品不支持而且禁止在这些方面的应用 未经义隆电子股份有限公司书面同意, 任何个人或公司不得以任何形式或方式对本使用说明文件的内容之任一部分进行复制或传输 义隆电子股份有限公司 总公司 : 地址 : 台湾新竹科学园区创新一路 12 号电话 : 传真 : webmaster@emc.com.tw 香港分公司 : 义隆电子 ( 香港 ) 有限公司地址 : 九龙观塘巧明街 95 号世达中心 19 楼 A 室电话 : 传真 : elanhk@emc.com.hk 深圳分公司 : 义隆电子 ( 深圳 ) 有限公司地址 : 深圳市南山区高新技术产业园南区高新南六道迈科龙大厦 8A 邮编 : 电话 : 传真 : elan-sz@elanic.com.cn USA: Elan Information Technology Group (USA) P.O. Box 601 Cupertino, CA USA Tel: Fax: 上海分公司 : 义隆电子 ( 上海 ) 有限公司地址 : 上海市浦东新区张江高科碧波路 5 号科苑大楼 6 楼邮编 : 电话 : 传真 : elan-sh@elanic.com.cn

3 目录 目录 1 综述 特性 引脚配置 引脚描述 功能描述 操作寄存器 R0 ( 间接寻址寄存器 ) R1 ( 时钟 / 计数器 ) R2 ( 程序计数器 ) 和堆栈 R3 ( 状态寄存器 ) R4 (RAM 选择寄存器 ) R5 ~ R6 (Port 5 ~ Port 6) R7 ~ R R9 (ADCON: Analog-to-Digital Control) RA (ADDATA: ADC 的转换值 ) RB (8 位通用目的寄存器 ) RC (2 位寄存器, Bit 0 和 Bit 1) RD (8 位通用目的寄存器 ) RE (2 位寄存器, Bit 0 和 Bit 1) RF ( 中断状态寄存器 ) R10 ~ R3F 特殊功能寄存器 A ( 累加器 ) CONT ( 控制寄存器 ) IOC50 ~ IOC60 (I/O 端口控制寄存器 ) IOC90 (GCON: I/O 配置和 ADC 控制寄存器 ) IOCA0 (AD-CMPCON) IOCB0 ( 下拉控制寄存器 ) IOCC0 ( 漏极开路控制寄存器 ) IOCD0 ( 下拉控制寄存器 ) IOCE0 (WDT 控制寄存器 ) IOCF0 ( 中断屏蔽寄存器 ) IOC51 (PWMCON) IOC61 (DT1L: PWM1 占空周期的最低有效字节 (Bit 7 ~ Bit 0)) IOC71 (DT1H: PWM1 占空周期的最高有效字节 (Bit 1 ~ Bit 0)) IOC81 (PRD1: PWM1 的周期 ) IOC91 (DT2L: PWM2 占空周期的最低有效字节 (Bit 7 ~ Bit 0)) 产品规格书 (V1.7) iii

4 目录 IOCA1 (DT2H: PWM2 占空周期的最高有效字节 (Bit 1 ~ Bit 0)) IOCB1 (PRD2: PWM2 的周期 ) IOCC1 (DL1L: PWM1 占空周期锁存值的最低有效字节 ( Bit 7 ~ Bit 0 ) ) IOCD1 (DL1H: PWM1 占空周期锁存值的最高有效字节 (Bit 1 ~ Bit 0)) IOCE1 (DL2L: PWM2 占空周期锁存值的最低有效字节 (Bit 7 ~ Bit 0)) IOCF1 (DL2H: PWM2 占空周期锁存值的最高有效字节 (Bit 1 ~ Bit 0)) TCC/WDT 和预分频器 I/O 端口 复位和唤醒 复位和唤醒功能 状态寄存器的 T 和 P 状态 中断 模数转换器 (ADC) ADC 控制寄存器 (ADCON/R9, AD-CMP-CON/IOCA0, GCON/IOC90) ADC 数据寄存器 (ADDATA/RA) A/D 采样时间 A/D 转换时间 休眠模式下的 A/D 运行 编程步骤 / 注意事项 两路 PWM ( 脉宽调制 ) 综述 加定时计数器 (TMRX: TMR1H/TWR1L 或 TMR2H/TWR2L) PWM 周期 (PRDX: PRD1 或 PRD2) PWM 占空周期 (DTX: DT1H/DT1L 和 DT2H/ DT2L; DTL: DL1H/DL1L 和 DL2H/DL2L) 比较器 X PWM 编程步骤 计时器 功能描述 功能描述 编程相关寄存器 定时器编程步骤 比较器 外部参考信号 比较器输出 用作运算放大器 中断 从休眠模式唤醒 复位后的初始值 iv 产品规格书 (V1.7)

5 目录 5.12 振荡器 振荡模式 晶振 / 陶瓷谐振器 ( 晶体 ) 外部 RC 振荡模式 带内部电容的 RC 振荡模式 上电考量 外置上电复位电路 残留电压保护 代码选项 代码选项寄存器 (Word 0) 指令集 时序图 最大绝对值 电气特性 直流电气特性 交流电器特性 A/D 转换器特性 比较器 (OP) 特性 器件特性 附录 A 封装类型 B 封装信息 产品规格书 (V1.7) v

6 目录 vi 产品规格书 (V1.7)

7 目录 目录 规格修订历史 文件版本 版本描述 日期 1.0 初版 1.1 修正 ERC 频率 2003/03/ 增加 AD&OP 规格 2003/05/ 修改上电复位内容 2003/07/ 在 6.5 节增加器件特性 2004/06/ 更新封装类型 2010/06/ 修改了引脚描述的内容 2010/12/ 删除了 18 引脚封装类型 2013/12/06 vi 产品规格书 (V1.7)

8 Contents iv Product Specification (V1.7)

9 1 综述 EM78P458 和 EM78P459 是采用低功耗 高速 CMOS 技术设计和开发的 8 位微处理器 它配备有一个 4K*13- 位一次性可编程只读存储器 (OTP-ROM) 利用其 OTP-ROM 特性, 可以很方便的开发和验证用户程序 另外, 用户可使用 EMC 烧录器很容易地烧写其开发代码 2 特性 CPU 配置 4K 13 位片上可读存储器 84 8 位片上可读存储器 8 层堆栈用于嵌套 低功耗 在 5V/4MHz 低于 1.5mA 在 3V/32kHz 典型值 15µA 在休眠模式典型值为 1µA I/O 端口配置 2 组双向 I/O 端口 8 个可编程下拉 I/O 引脚 7 个可编程上拉 I/O 引脚 8 个可编程开漏 I/O 引脚 单指令周期为两个时钟 工作电压范围 : 2.3V~5.5V 工作温度范围 : 0~70 C 工作频率范围 ( 基于两个时钟 ): 晶振模式 : 5V 3V RC 模式 : DC~4 5V DC~4 3V 外部配置 8 位实时时钟 / 计数器 (TCC), 具有可选择信号源 触发沿河溢出中断功能 8 位多通道模数转换器, 具有 8 位分辨率 双频宽调制器 (PWM), 具有 10 位分辨率 一对比较器 掉电 ( 休眠 ) 模式 六个中断 TCC 溢出中断 输入端口状态改变中断 ( 从休眠模式唤醒 ) 外部中断 ADC 结束产生中断 PWM 周期匹配实现 比较器高中断 特性 可编程自由运行看门狗定时器 封装类型 : 20-pin DIP 300mil 20-pin SOP 300mil : EM78P458AP : EM78P458AM 24-pin skinny DIP 300mil : EM78P459AK 产品规格书 (V1.7)

10 3 引脚配置 P56/CIN P55/CIN- P56/CIN+ P57/CO P55/CIN- P54/TCC P57/CO P60/ADC1 P61/ADC P54/TCC OSCI OSCO P60/ADC1 P61/ADC2 Vss P62/ADC3 P63/ADC EM78P458A OSCI OSCO VDD P53/VREF P52/PWM2 ENTCC Vss Vss P62/ADC3 P63/ADC EM78P RESET VDD VDD P53/VREF P52/PWM2 P64/ADC P51/PWM1 P64/ADC P51/PWM1 P65/ADC P50/INT P65/ADC P50/INT P66/ADC P67/ADC8 P66/ADC P67/ADC8 图 3-1 EM78P458A/459 引脚配置 2 产品规格书 (V1.7)

11 4 引脚描述 表 4-1 EM78P458/EM78P459 引脚描述 名称 P50/INT (VPP) P51/PWM1 (/OEB) P52/PWM2 P53/VREF P54/TCC P55/CIN- P56/CIN+ P57/CO P60/ADC1 P61/ADC2 P62/ADC3 P63/ADC4 P64/ADC5 (DATAIN) P65/ADC6 (DINCK) 功能 输入类型 输出类型 描述 P50 ST - 只能作为输入引脚 INT ST 外部中断引脚 (VPP) ST 烧录的 VPP 引脚 P51 ST CMOS 通用 I/O 引脚带有可编程开漏功能 PWM1 CMOS PWM1 输出 (/OEB) ST 烧录的 /OEB 引脚 P52 ST CMOS 通用 I/O 引脚带有可编程开漏功能 PWM2 CMOS PWM2 输出 P53 ST CMOS 通用 I/O 引脚带有可编程上拉功能 VREF AN ADC 外部参考电压 P54 ST CMOS 通用 I/O 引脚带有可编程开漏功能 TCC ST 实时时钟 / 计数器时钟输入 P55 ST CMOS 通用 I/O 引脚带有可编程上拉功能 CIN- AN 比较器负端 P56 ST CMOS 通用 I/O 引脚带有可编程上拉功能 CIN+ AN 比较器正端 P57 ST CMOS 通用 I/O 引脚带有可编程开漏功能 CO AN 比较器的输出 P60 ST CMOS 通用 I/O 引脚带有可编程上拉和下拉功能 ADC1 AN ADC 输入 1 P61 ST CMOS 通用 I/O 引脚带有可编程上拉和下拉功能 ADC2 AN ADC 输入 2 P62 ST CMOS 通用 I/O 引脚带有可编程上拉和下拉功能 ADC3 AN ADC 输入 3 P63 ST CMOS 通用 I/O 引脚带有可编程上拉和下拉功能 ADC4 AN ADC 输入 4 P64 ST CMOS 通用 I/O 引脚带有可编程下拉和开漏功能 ADC5 AN ADC 输入 5 (DATAIN) ST 烧录的 DATAIN 引脚 P65 ST CMOS 通用 I/O 引脚带有可编程下拉和开漏功能 ADC6 AN ADC 输入 6 (DINCK) ST 烧录的 DINCK 引脚 产品规格书 (V1.7)

12 ( 续 ) 名称 功能 输入类型 输出类型 描述 P66 ST CMOS 通用 I/O 引脚带有可编程下拉和开漏功能 P66/ADC7 (ACLK) ADC7 AN ADC 输入 7 P67/ADC8 (/PGMB) (ACLK) ST 烧录的 ACLK 引脚 P67 ST CMOS 通用 I/O 引脚带有可编程下拉和开漏功能 ADC8 AN ADC 输入 8 /PGMB ST 烧录的 /PGMB 引脚 OSCI OSCI XTAL 晶振 / 谐振器振荡器的时钟输入 OSCO OSCO XTAL 晶振 / 谐振器振荡器的时钟输出 ENTCC ENTCC ST 1: 使能 TCC; 0: 禁止 TCC RESET RESET ST 如果保持为逻辑低, 器件将产生复位 VDD VDD POWER 电源 VSS VSS POWER 地 说明 : ST: 施密特触发输入 CMOS: CMOS 输出 AN: 模拟引脚 XTAL: 晶振 / 谐振的振荡引脚 4 产品规格书 (V1.7)

13 5 功能描述 WDT Timer P C STACK 0 WDT Time-out Prescaler STACK 1 STACK 2 ENTCC Oscillator/ Timming Control R1(TCC) /INT Interrupt Control ROM Instruction Register STACK 3 STACK 4 STACK 5 STACK 6 STACK 7 Sleep & Wake Up Control RAM R4 Instruction Decoder R3 ALU ACC DATA & CONTROL BUS Comparators IOC5 R5 2 PWMs 8 ADC IOC6 R6 PPPPPPPP PPPPPPPP 图 5-1 EM78P458/459 功能结构图 5.1 操作寄存器 R0 ( 间接寻址寄存器 ) R0 并非实际存在的寄存器 它的主要功能是作为间接寻址指针 任何以 R0 为指针的指令实际上是对 RAM 选择寄存器 (R4) 所指的数据进行操作 R1 ( 时钟 / 计数器 ) 由 TCC 引脚的外部信号边沿或指令周期时钟触发加 1 触发计数器加计数的信号由 CONT 寄存器的位元 4 和位元 5 决定 同其它寄存器一样可读 / 写 R2 ( 程序计数器 ) 和堆栈 R2 和硬件堆栈为 12 位宽 图 5-2 为其结构图 产生 4K 13 位片内 ROM 地址以寻址程序 一个程序页为 1024 字长 复位条件下,R2 的所有位都置为 "0" 产品规格书 (V1.7)

14 "JMP" 指令允许直接加载程序计数器的低 10 位 因此,"JMP" 允许 PC 跳转到一个程序页内的任一位址 "CALL" 指令首先加载 PC 的低 10 位, 然后将 PC+1 推入堆栈 因此, 子程序入口地址可位于一个程序页内的任一位址 "RET" ("RETL k", "RETI") 指令加载栈顶内容到程序计数器 "ADD R2, A" 可将一个相对地址加到当前 PC,PC 的第九, 第十位被清除 "MOV R2, A" 可从 "A" 寄存器加载一个相对地址到 PC 的低 8 位,PC 的第九和第十位被清除 任何向 R2 写值的指令 ( 例如 :"ADD R2, A" "MOV R2, A", "BC R2, 6", ) 将导致 PC 的第九和第十位 (A8~A9) 被清除 因此, 经计算的跳转限制在一个程序页的前 256 个位址 对于 EM78P458/459, 执行 "JMP", "CALL" 指令后, 程序计数器的高两位 (A11 和 A10) 将加载状态寄存器 (R3) 的 PS1 和 PS0 值 处理向 R2 写值的指令需要两个指令周期外, 所有指令都是单周期指令 (fclk/2 或 fclk/4) User Memory Space 000H 008H FFFH Reset Vector Interrupt Vector Page 0 Page 1 Page 2 Page 3 3 F F F F B F F C 0 0 F F F On-chip Program Memory PC A11, A10 A9 ~ A0 Stack Level 0 Stack Level 1 Stack Level 2 Stack Level 3 Stack Level 4 Stack Level 5 Stack Level 6 Stack Level 7 图 5-2 程序计数器组织图 6 产品规格书 (V1.7)

15 地址 PAGE 寄存器 IOC PAGE 寄存器 IOC PAGE 寄存器 00 R0 (IAR) 保留 保留 01 R1 (TCC) 保留 保留 02 R2 (PC) 保留 保留 03 R3 ( 状态 ) 保留 保留 04 R4 RSR) 保留 保留 05 R5 (Port 5) IOC50 (I/O 端口控制寄存器 ) IOC51 (PWMCON) 06 R6 (Port 6) IOC60 (I/O 端口控制寄存器 ) IOC61 (DT1L) 07 R7 通用寄存器 保留 IOC71 (DT1H) 08 R8 通用寄存器 保留 IOC81 (PRD1) 09 R9 (ADCON) IOC90 (GCON) IOC91 (DT2L) 0A RA (ADDATA) IOCA0 (AD-CMPCON) IOCA1 (DT2H) 0B RB 通用寄存器 IOCB0 ( 下拉控制寄存器 ) IOCB1 (PRD2) 0C RC 通用寄存器 ( 仅两位有效 ) IOCC0 ( 漏极开路控制寄存器 ) IOCC1 (DL1L) 0D RD 通用寄存器 IOCD0 ( 上拉控制寄存器 ) IOCD1 (DL1H) 0E RE 通用寄存器 ( 仅两位有效 ) IOCE0 (WDT 控制寄存器 ) IOCE1 (DL2L) 0F RF ( 中断状态寄存器 ) IOCF0 ( 中断屏蔽寄存器 ) IOCF1 (DL2H) 10.. 通用寄存器 1F 20.. Bank 0 Bank 1 3F R3 ( 状态寄存器 ) 图 5-3 数据存储配置 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CMPOUT PS1 PS0 T P Z DC C Bit 7 (CMPOUT): 比较器输出结果 Bits 6 ~ 5 (PS1 ~ PS0): 页选择位 PS0~PS1 用于选择程序存储器页 当执行 "JMP", "CALL" 指令或会使程序计数器发生改变的指令 ( 例如 :MOV R2, A), PS0~PS1 将被加载到程序计数器的第 11 和第 12 位用于选择一个有效的程序存储器页 必须注意的是,RET(RETL, RETI) 指令不会改变 PS0~PS1 位, 也就是, 返回的地址总是子程序被调用的位址, 而不论 PS0~PS1 的当前值是什么 产品规格书 (V1.7)

16 PS1 PS0 程序存储器页 [ 地址 ] 0 0 Page 0 [000-3FF] 0 1 Page 1 [400-7FF] 1 0 Page 2 [800-BFF] 1 1 Page 3 [C00-FFF] Bit 4 (T): 溢出位 执行 SLEP 和 WDTC 指令或上电时置 1 WDT 溢出时复位为 0 Bit 3 (P): 掉电位 上电时或执行 WDTC 指令置 1, 执行 SLEP 指令复位为 0 Bit 2 (Z): 零标志位 如果算术或逻辑运算的结果为零时置为 "1" Bit 1 (DC): 辅助进位标志位 Bit 0 (C): 进位标志位 R4 (RAM 选择寄存器 ) Bit 7: 通用目的读 / 写位元 Bit 6: 用于选择 Bank 0 或 Bank 1 Bits 0 ~ 5: 在间接寻址模式中用于选择寄存器 ( 地址 : 00~3F) R5 ~ R6 (Port 5 ~ Port 6) R5 和 R6 为 I/O 寄存器 R7 ~ R8 所有这些寄存器为 8 位通用寄存器 R9 (ADCON: 模数控制器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit IOCS ADRUN ADPD ADIS2 ADIS1 ADIS0 Bit 7~ Bit 6: 未使用, 读为 0 Bit 5 (IOCS): 选择 I/O 控制寄存器段 0: 选择段 0 ( IOC50~IOCF0) 1: 选择段 1 ( IOC51~IOCF1) Bit 4 (ADRUN): ADC 开始运行 0: 完成转换后重置 此位元不可由软件复位 1: A/D 转换开始 此位元可由软件置位 Bit 3 (ADPD): ADC 掉电模式 0: 关闭电阻器, 即使当 CPU 正在运行, 也可参考省电模式 8 产品规格书 (V1.7)

17 1: ADC 运行 Bit 2 ~ Bit 0 (ADIS2 ~ ADIS0): 模拟输入选择 000 = AN0 001 = AN1 010 = AN2 011 = AN3 100 = AN4 101 = AN5 110 = AN6 111 = AN7 仅当 ADIF 位元和 ADRUN 位元同时为低时, 这些位元才可被改变 RA (ADDATA: ADC 的转换值 ) 当 A/D 转换完成, 转换结果加载到 ADDATA START//END 位元清除,ADIF 位元置位 RB (8 位通用目的寄存器 ) RC (2 位寄存器, Bit 0 和 Bit 1) RD (8 位通用目的寄存器 ) RE (2 位寄存器, Bit 0 和 Bit 1) RF ( 中断状态寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 - CMPIF PWM2IF PWM1IF ADIF EXIF ICIF TCIF 注意 : 1 表示有中断请求, 0 表示没有中断发生 Bit 7: 未使用, 读为 0 Bit 6 (CMPIF): 高 - 比较中断标志 当比较器输出发生改变时置位, 由软件复位 Bit 5 (PWM2IF): PWM2( 脉宽调制 ) 中断标志 当与选定周期匹配时置位, 由软件复位 Bit 4 (PWM1IF): PWM2( 脉宽调制 ) 中断标志 当与选定周期匹配时置位, 由软件复位 Bit 3 (ADIF): Bit 2 (EXIF): Bit 1 (ICIF): Bit 0 (TCIF): 模数转换中断标志位 当 AD 转换完成时置位, 由软件复位外部中断标志 由 /INT 引脚的下降沿触发置位, 由软件复位 Port 6 输入状态改变中断标志 当 Port 6 输入状态改变时置位, 由软件复位 TCC 溢出中断标志 TCC 溢出时置位, 由软件复位 产品规格书 (V1.7)

18 RF 可由指令清除但不可由指令置位 IOCF0 为中断屏蔽寄存器. 注意 : 读取 RF 所得到的值是 RF 和 IOCF0 逻辑与的结果 R10 ~ R3F 所有这些寄存器均是 8 位通用寄存器 5.2 特殊功能寄存器 A ( 累加器 ) 内部数据传输操作或指令操作数保持通常包含累加器的短暂存储功能 累加器为一个不可寻址寄存器 CONT ( 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 INTE INT TS TE PAB PSR2 PSR1 PSR0 Bit 7 (INTE): INT 信号边沿 0: 在 INT 引脚的上升沿产生中断 1: 在 INT 引脚的下降沿产生中断 Bit 6 (INT): Bit 5 (TS): Bit 4 (TE): 中断使能标志位 0: 由 DISI 指令或硬件中断屏蔽 1: 由 ENI/RETI 指令使能 TCC 信号源选择位 0: 内部指令周期时钟 如果 P54 用作 I/O 引脚,TS 必须为 0 1: TCC 引脚上的信号跳变 TCC 信号边沿选择位 0: TCC 引脚信号发生由低到高跳变时增 1 1: TCC 引脚信号发生由高到低跳变时增 1 Bit 3 (PAB): 预分频器分配位 0: TCC 1: WDT Bit 2 ~ Bit 0 (PSR2 ~ PSR0): TCC/WDT 预分频位 10 产品规格书 (V1.7)

19 PSR2 PSR1 PSR0 TCC 分频比 WDT 分频比 :2 1: :4 1: :8 1: :16 1: :32 1: :64 1: :128 1: :256 1:128 CONT 寄存器可读 / 写 IOC50 ~ IOC60 (I/O 端口控制寄存器 ) "1" 设置相应 I/O 引脚为高阻态, 而 "0" 定义相应 I/O 引脚为输出 IOC50 和 IOC60 寄存器可读 / 写 IOC90 (GCON: I/O 配置和 ADC 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 OP2E OP1E G22 G21 G20 G12 G11 G10 Bit 7 (OP2E): 使能增益放大器, 此放大器输入端连到 P64, 输出端连接到 8-1 模拟开关 0: OP2 关闭 ( 默认 ), 旁路输入信号到 ADC 1: OP2 开启 Bit 6 (OP1E): 使能增益放大器, 此放大器输入端连到 P60, 输出端连接到 8-1 模拟开关 0: OP1 关闭 ( 默认 ), 旁路输入信号到 ADC 1: OP1 开启 Bit 5 ~ Bit 3 (G22 ~ G20): OP2 增益选择位 000 = IS x 1 ( 默认值 ) 001 = IS x = IS x = IS x = IS x = IS x 32 说明 : IS = 输入信号 Bit 2 ~ Bit 0 (G12 ~ G10): OP1 增益选择位 产品规格书 (V1.7)

20 000 = IS x 1 ( 默认值 ) 001 = IS x = IS x = IS x = IS x = IS x 32 说明 : IS = 输入信号 IOCA0 (AD-CMPCON) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 VREFS CE COE IMS2 IMS1 IMS0 CKR1 CKR0 Bit 7(VREFS):ADC 参考电压输入选择位 0: ADC 的 Vref 连接到 Vdd( 默认 ), 并且 P53/VREF 引脚用作 P53 1: ADC 的 Vref 连接到 P53/VREF Bit 6 (CE): 比较器使能位 0: 比较器关闭 ( 默认 ) 1: 比较器开启 Bit 5 (COE): 设置 P57 为比较器输出 0: 如果 CE=1, 比较器作为 OP 1: 如果 CE=1, 用作比较器 Bit 4 ~ Bit 2 (IMS2 ~ IMS0): 输入模式选择 ADC 配置定义位 以下表格描述了如何定 义 R6 每个引脚的特性 表 5-1 AD 配置控制位元的描述 IMS2:IMS0 P60 P61 P62 P63 P64 P65 P66 P A D D D D D D D 001 A A D D D D D D 010 A A A D D D D D 011 A A A A D D D D 100 A A A A A D D D 101 A A A A A A D D 110 A A A A A A A D 111 A A A A A A A A Bit 1 ~ Bit 0 (CKR1 ~ CKR0): ADC 振荡器时钟的预分频比 00 = 1: 4 ( 默认 ) 01 = 1: 产品规格书 (V1.7)

21 10 = 1: = ADC 的振荡器时钟源取自 WDT 圆环振荡器的频率 ( 频率 =256/18ms 14.2kHz) IOCB0 ( 下拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /PD7 /PD6 /PD5 /PD4 /PD3 /PD2 /PD1 /PD0 Bit 7 (/PD7): P67 引脚下拉控制使能位 0: 使能内部下拉 1: 禁止内部下拉 Bit 6 (/PD6): P66 引脚下拉控制使能位 Bit 5 (/PD5): P65 引脚下拉控制使能位 Bit 4 (/PD4): P64 引脚下拉控制使能位 Bit 3 (/PD3): P63 引脚下拉控制使能位 Bit 2 (/PD2): P62 引脚下拉控制使能位 Bit 1 (/PD1): P61 引脚下拉控制使能位 Bit 0 (/PD0): P60 引脚下拉控制使能位 IOCB0 寄存器可读 / 写 IOCC0 ( 漏极开路控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /OD7 /OD6 /OD5 /OD4 /OD3 /OD2 /OD1 /OD0 Bit 7 (OD7): P57 引脚漏极开路控制使能位 0: 使能漏极开路输出 1: 禁止漏极开路输出 Bit 6 (OD6): P54 引脚漏极开路控制使能位 Bit 5 (OD5): P52 引脚漏极开路控制使能位 Bit 4 (OD4): P51 引脚漏极开路控制使能位 Bit 3 (OD3): P67 引脚漏极开路控制使能位 Bit 2 (OD2): P66 引脚漏极开路控制使能位 Bit 1 (OD1): P65 引脚漏极开路控制使能位 Bit 0 (OD0): P64 引脚漏极开路控制使能位 IOCC0 寄存器可读 / 写 产品规格书 (V1.7)

22 5.2.8 IOCD0 ( 下拉控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 /PH7 /PH6 /PH5 - /PH3 /PH2 /PH1 /PH0 Bit 7 (/PH7): P56 引脚上拉控制使能位 0: 使能内部上拉 1: 使能内部上拉 Bit 6 (/PH6): P55 引脚上拉控制使能位 Bit 5 (/PH5): P53 引脚上拉控制使能位 Bit 4: 未使用 Bit 3 (/PH3): P63 引脚上拉控制使能位 Bit 2 (/PH2): P62 引脚上拉控制使能位 Bit 1 (/PH1): P61 引脚上拉控制使能位 Bit 0 (/PH0): P60 引脚上拉控制使能位 IOCD0 寄存器可读 / 写 IOCE0 (WDT 控制寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 WDTE EIS Bit 7 (WDTE): 看门狗定时器控制使能位 0: 禁止 WDT 1: 使能 WDT WDTE 位可读 / 写 Bit 6 (EIS): P50 (/INT) 引脚功能控制使能位 0: P50, 仅作为输入引脚 1: /INT, 外部中断引脚 在此条件下,P50 的 I/O 控制位 (IOC50-bit 0) 必须设置为 1 当 EIS 为 "0",/INT 通道被屏蔽 当 EIS 为 "1", /INT 引脚状态也可通过读取 Port 5 (R5) 的方式读取, 参考图 5-6 EIS 位可读 / 写 Bits 5 ~ 0: 未使用 14 产品规格书 (V1.7)

23 IOCF0 ( 中断屏蔽寄存器 ) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 - CMPIE PWM2IE PWM1IE ADIE EXIE ICIE TCIE Bit 7: 未实现, 读为 0 各个中断可通过设置 IOCF0 中的相应控制位为 "1" 使能 全局中断由 ENI 指令使能, 由 DISI 指令禁止 参考图 5-10 Bit 6 (CMPIE): CMPIF 中断使能位 0: 禁止 CMPIF 中断 1: 使能 CMPIF 中断 Bit 5 (PWM2IE): PWM2IF 中断使能位 0: 禁止 PWM2 中断 1: 使能 PWM2 中断 Bit 4 (PWM1IE): PWM1IF 中断使能位 0: 禁止 PWM1 中断 1: 使能 PWM1 中断 Bit 3 (ADIE): ADIF 中断使能位 0: 禁止 ADIF 中断 1: 使能 ADIF 中断 Bit 2 (EXIE): EXIF 中断使能位 0: 禁止 EXIF 中断 1: 使能 EXIF 中断 Bit 1 (ICIE): ICIF 中断使能位 0: 禁止 ICIF 中断 1: 使能 ICIF 中断 Bit 0 (TCIE): TCIF 中断使能位 0: 禁止 TCIF 中断 1: 使能 TCIF 中断 IOCF0 寄存器可读 / 写 产品规格书 (V1.7)

24 IOC51 (PWMCON) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 PWM2E PWM1E T2EN T1EN T2P1 T2P0 T1P1 T1P0 Bit 7 (PWM2E): PWM2 使能位 0: PWM2 关闭 ( 默认值 ), 其相关引脚用作 P52 1: PWM2 开启, 其相关引脚将自动设置为输出 Bit 6 (PWM1E): PWM1 使能位 0: PWM1 关闭 ( 默认值 ), 其相关引脚用作 P51 1: PWM1 开启, 其相关引脚将自动设置为输出 Bit 5 (T2EN): TMR2 使能位 0: TMR2 关闭 ( 默认值 ) 1: TMR2 开启 Bit 4 (T1EN): TMR1 使能位 0: TMR1 关闭 ( 默认值 ) 1: TMR1 开启 Bit 3 ~ Bit 2 (T2P1 ~ T2P0): TMR2 时钟分频比选择位 T2P1 T2P0 分频比 0 0 1:2( 默认 ) 0 1 1: : :64 Bit 1 ~ Bit 0 (T1P1 ~ T1P0): TMR1 时钟分频比选择位 T1P1 T1P0 分频比 0 0 1:2( 默认 ) 0 1 1: : : IOC61 (DT1L: PWM1 占空周期的最低有效字节 (Bit 7 ~ Bit 0)) 存储一个特定值, 在 TMR1 与此特定值发生匹配之前,PWM1 的输出一直保持为高 16 产品规格书 (V1.7)

25 IOC71 (DT1H: PWM1 占空周期的最高有效字节 (Bit 1 ~ Bit 0)) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CALI1 SIGN1 VOF1[2] VOF1[1] VOF1[0] - PWM1[9] PWM1[8] Bit 7 (CALI1): 校准使能位 0: 校准禁止 1: 校准使能 Bit 6 (SIGN1): 补偿电压极性选择位 0: 负电压 1: 正电压 Bit 5 ~ Bit 3: (VOF1[2]:VOF1[0]): 补偿电压位 Bit 1 ~ Bit 0: (PWM1[9] ~ PWM1[8]): PWM1 占空周期的最高有效字节存储一个特定值, 在 TMR1 与此特定值发生匹配之前,PWM1 的输出一直保持为高 IOC81 (PRD1: PWM1 的周期 ) IOC81 存储 PWM1 的周期 ( 时基 ) PWM1 的频率为周期倒数 IOC91 (DT2L: PWM2 占空周期的最低有效字节 (Bit 7 ~ Bit 0)) 存储一个特定值, 在 TMR2 与此特定值发生匹配之前,PWM2 的输出一直保持为高 IOCA1 (DT2H: PWM2 占空周期的最高有效字节 (Bit 1 ~ Bit 0)) Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CALI2 SIGN2 VOF2[2] VOF2[1] VOF2[0] - PWM2[9] PWM2[8] Bit 7 (CALI2): 校准使能位 0: 禁止校准 1: 使能校准 Bit 6 (SIGN2): 补偿电压极性选择位 0: 负电压 1: 正电压 Bit 5 ~ Bit 3: (VOF2[2]:VOF2[0]): 补偿电压位 Bit 1 ~ Bit 0: (PWM2[9] ~ PWM2[8]): PWM1 占空周期高两位存储一个特定值, 在 TMR2 与此特定值发生匹配之前,PWM2 的输出一直保持为高 产品规格书 (V1.7)

26 IOCB1 (PRD2: PWM2 的周期 ) IOCB1 存储 PWM2 的周期 ( 时基 ) PWM2 的频率为周期倒数 IOCC1 (DL1L: PWM1 占空周期锁存值的最低有效字节 ( Bit 7 ~ Bit 0 ) ) IOCC1 寄存器仅可读 IOCD1 (DL1H: PWM1 占空周期锁存值的最高有效字节 (Bit 1 ~ Bit 0)) IOCD1 寄存器仅可读 IOCE1 (DL2L: PWM2 占空周期锁存值的最低有效字节 (Bit 7 ~ Bit 0)) IOCE1 寄存器仅可读 IOCF1 (DL2H: PWM2 占空周期锁存值的最高有效字节 (Bit 1 ~ Bit 0)) IOCF1 寄存器仅可读 5.3 TCC/WDT 和预分频器 一个 8 位计数器可用作 TCC 或 WDT 预分频计数器 在某一特定时刻, 预分频器仅对 TCC 或 WDT 有效,CONT 寄存器的 PAB 位用于定义预分频器的分配 PSR0~PSR1 位定义预 分频比 在 TCC 模式下, 预分频器在每次执行向 TCC 写值的指令后清除 当预分频器分 配给 WDT,WDT 和预分频器在执行 WDTC 或 SLEP 指令后清除 图 5-4 描绘了 TCC/WDT 电路图 R1 (TCC) 为一个 8 位定时 / 计数器 TCC 时钟源可取自内部时钟或外部时钟输入 ( 可选择触发边沿 ) 如果 TCC 信号源取自内部时钟,TCC 将在每个指令周期 ( 未预分频 ) 后增 1 参考图 5-4,CLK=Fosc/2 或 CLK=Fosc/4CLK 取决于代码选项位 CLKS. 如果 CLKS 位为 "0",CLK= Fosc/2; 如果 CLKS 位为 "1",CLK= Fosc/4 如果 TCC 信号源取自外部时钟输入,TCC 将在 TCC 引脚信号的下降或上升沿增 1 看门狗定时器的时钟源是一个自由运行的片内 RC 振荡器 WDT 将一直保持运行即使在振荡器驱动关闭的条件下 ( 也即休眠模式 ) 在普通模式或休眠模式下,WDT 溢 出 ( 若使能 ) 将使器件产生复位 在普通模式,WDT 可通过编程在任何时间使能或禁止 参考 IOCE0 寄存器的 WDTE 位 不带预分频时,WDT 溢出周期大约为 18 ms 1 1 VDD=5V, 启动周期 = 18 ms ± 30%. VDD=3V, 启动周期 = 22 ms ± 30%. 18 产品规格书 (V1.7)

27 CLK (Fosc/2 or Fosc/4) DATA BUS TCC Pin 0 1 M U X 1 0 M U X SYNC 2 cycles TCC (R1) TE TS PAB TCC overflow interrupt WDT 0 1 M U X PAB 8-bit Counter 8-to-1 MUX PSR0 ~ PSR2 WDTE (in IOCE) 0 MUX 1 PAB WDT timeout 图 1-4 TCC 和 WDT 结构图 5.4 I/O 端口 Port 5, Port 6 和 I/O 寄存器为双向三态 I/O 端口 上拉 下拉和漏极开路功能可分别通过设置 IOCB0 IOCC0 和 IOCD0 使能或禁止 Port 6 具有输入状态改变中断 ( 或唤醒 ) 功能 每个 I/O 引脚都可通过设置相应 I/O 控制寄存器 (IOC50 ~ IOC60) 定义为 输入 或 输出 引脚 I/O 寄存器和 I/O 控制寄存器均可读 / 写 Port 5 和 Port 6 I/O 接口电路分别见图 5-5, 图 5-6, 图 5-7 PCRD Q _ Q CLK C L D PCWR PORT Q _ Q P R CLK C L D PDWR PDRD IOD 0 1 M U X 注 : 下拉电路没有在图中显示 图 5-5 Port 5 I/O 端口和 I/O 控制寄存器电路 产品规格书 (V1.7)

28 PCRD Q P R D _ CLK Q C L PCWR P50, /INT PORT Q P R D _ CLK Q C L PDWR IOD D P R Bit 6 of IOCE0 Q CLK _ C L Q 0 1 M U X PDRD TI 0 D P R CLK C L Q _ Q INT 注 : 上拉 ( 下拉 ) 和漏极开路电路没有在图中显示 图 5-6 P50(/INT)I/O 端口和 I/O 控制寄存器电路 PCRD P Q R D _ CLK Q C L PCWR P60 ~ P67 PORT P Q R D _ CLK Q C L PDWR IOD 0 1 M U X PDRD TI n D P R CLK C L Q _ Q 注 : 上拉 ( 下拉 ) 和漏极开路电路没有在图中显示图 5-7 P60~P67 I/O 端口和 I/O 控制寄存器电路 20 产品规格书 (V1.7)

29 IOCE.1 D P R CLK C L Q _ Q RE.1 Interrupt T10 T11 T17 D CLK P R C L Q _ Q Q _ Q P R D CLK C L ENI Instruction DISI Instruction /SLEP Interrupt (Wake-up from SLEEP) Next Instruction (Wake-up from SLEEP) 图.5-8 Port 6 输入状态改变中断 / 唤醒功能结构图表 5-2 Port 6 输入状态改变唤醒 / 中断功能应用 Port 6 输入状态改变唤醒 / 中断功能应用 (I) 由 Port 6 输入状态改变唤醒 (II) Port 6 输入状态改变中断 (a) 休眠前 1. 读 I/O Port 6 (MOV R6,R6) 1. 禁止 WDT 2. 执行 "ENI" 2. 读 I/O Port 6 (MOV R6,R6) 3. 使能中断 ( 设置 IOCF0.1) 3. 执行 "ENI" 或 "DISI" 4. 如果 Port 6 状态改变 ( 中断 ) 4. 使能中断 ( 设置 IOCF0.1) 中断向量 (008H) 5. 执行 "SLEP" 指令 (b) 唤醒后 1. 如果 "ENI" 中断向量 (008H) 2. 如果 "DISI" 下一条指令 5.5 复位和唤醒 复位和唤醒功能 一次复位可由如下事件之一触发 : (1) 上电复位 (2) /RESET 引脚输入 低 (3) WDT 溢出 ( 若使能 ) 产品规格书 (V1.7)

30 在检测到一次复位后, 器件将保持在复位状态大约 18ms( 一次振荡器启动时间周期 ) 一旦产生复位, 以下功能将被执行 : 振荡器保持运行或开始起振 程序计数器 (R2) 所有位置 "0" 所有 I/O 端口引脚被配置为输入模式 ( 高组态模式 ) 看门狗定时器和预分频器被清除 当电源开启,R3 寄存器的高 3 位清零 除了 Bit 6(INT 标志 ) 以外,CONT 寄存器的所有位置 "1" IOCB0 寄存器的所有位置 "1" IOCC0 寄存器清零 IOCD0 寄存器的所有位置 "1" IOCE0 寄存器的 Bit 7 置 "1",Bit 6 清零 执行 SLEP 将使器件进入休眠模式 当进入休眠模式,WDT( 若使能 ) 清零但始终保持运行 控制器可由以下事件唤醒 : (1) /RESET 引脚的外部复位输入 (2) WDT 溢出 ( 若使能 ) (3) Port 6 输入状态改变 ( 若使能 ) (4) 比较器高 (5) ADC 转换完成前两个事件将使 EM78P458/459 产生复位 R3 寄存器的 T 和 P 标志可用于判断复位 ( 唤醒 ) 源 事件 3 将综合考虑后续程序的执行和全局中断 ("ENI" 或 "DISI" 指令是否被执行 ) 决定控制器在唤醒后是否进入中断向量 如果在 SLEP 指令前执行了 ENI 指令, 唤醒后将从地址 0X8 开始执行指令 ; 如果在 SLEP 指令前执行了 DISI 指令, 唤醒后将从 SLEP 指令的下一条指令开始执行 在进入休眠模式之前, 仅有事件 2 至 4 可被使能 也即 : [a] 如果 Port 6 输入状态改变中断在执行 SLEP 指令前被使能,WDT 必须由软件禁止 然而, 代码选项寄存器的 WDT 位仍保持使能 因此,EM78P458/459 仅可由事件 1 或 3 唤醒 [b] 如果 WDT 在执行 SLEP 指令之前使能,Port 6 输入状态改变中断必须被禁止 因此, EM78P458/459 仅可由事件 1 或 2 唤醒 详细请参考中断章节 [c] 如果比较器高中断在执行 SLEP 指令之前使能,WDT 必须被禁止 然而, 代码选项寄存器的 WDT 位仍保持使能 因此,EM78P458/459 仅可由事件 1 或 4 唤醒 如果 Port 6 输入状态改变中断用于唤醒 EM78P458/459 如上述事件 [a]), 在 SLEP 指令前必须执行如下指令 : 22 产品规格书 (V1.7)

31 MOV ; TCC 选择内部时钟 CONTW CLR R1 ; 清除 TCC 和预分频器 MOV ; 选择 WDT 预分频 CONTW WDTC ; 清除 WDT 和预分频器 MOV ; 禁止 WDT IOW RE MOV R6, R6 ; 读取 Port 6 MOV ; 使能 Port 6 输入状态改变中断 IOW RF ENI (or DISI) ; 使能 ( 或禁止 ) 全局中断 SLEP ; 休眠 NOP 同样, 如果比较器高中断用于唤醒 EM78P458/459( 如上述事件 [c]), 在 SLEP 指令前必须执行如下指令 : MOV CONTW CLR R1 MOV CONTW WDTC MOV IOW RE MOV IOW RF ENI (or DISI) SLEP NOP ; TCC 选择内部时钟 ; 清除 TCC 和预分频器 ; 选择 WDT 预分频 ; 清除 WDT 和预分频器 ; 禁止 WDT ; 使能比较器高中断 ; 使能 ( 或禁止 ) 全局中断 ; 休眠 用户必须注意到的一个问题是, 器件从休眠模式唤醒后,WDT 功能将被自动使能 WDT 操作 ( 使能或禁止 ) 应该由软件进行适当的处理 状态寄存器的 T 和 P 状态 一次复位可由以下事件之一触发 : (1) 上电 (2) /RESET 引脚上的一次高 - 低 - 高脉冲 (3) 看门狗定时器溢出 T 和 P 的值列于下面表 5-3 中, 可用其判断处理器是如何唤醒的 表 5-4 列出了可能会影响 T 和 P 状态的事件 产品规格书 (V1.7)

32 表 5-3 复位后 RST,T 和 P 值 复位类型 T P 上电 1 1 普通模式下的 /RESET 引脚复位 *P *P 休眠模式下的 /RESET 引脚复位 1 0 普通模式下的 WDT 溢出 0 *P 休眠模式下的 WDT 溢出唤醒 0 0 休眠模式下的 Port 6 输入状态改变唤醒 1 0 *P: 复位前的状态 表 5-4 事件发生后 RST,T 和 P 状态事件 T P 上电 1 1 WDTC 指令 1 1 WDT 溢出 0 *P SLEP 指令 1 0 休眠模式下的 Port 6 输入状态改变唤醒 1 0 *P: 复位前的值 Oscillator Power-on Reset Voltage Detector VDD D CLK CLR Q CLK WDT WDT Timeout Setup time Reset /RESET 图 5-9 控制器复位结构图 5.6 中断 EM78P458/459 有如下所列 6 个中断 (1) TCC 溢出中断 (2) Port 6 输入状态改变中断 24 产品规格书 (V1.7)

33 (3) 外部中断 [(P50, /INT) 引脚 ]. (4) 模数转换完成 (5) PWM 模式下 TMR1/TMR2 分别与 PRD1/PRD2 匹配. (6) 比较器输出改变在使能 Port 6 输入状态改变前, 读取 Port 6 ( 例如 "MOV R6,R6") 是必要的 每个 Port 6 引脚在其状态改变时都具有此特性 如果引脚被配置为输出引脚 (P50 引脚被配置为 /INT), 则不再具有此功能 如果在执行 SLEP 进入休眠模式之前,Port 6 输入状态改变中断被使能, 则它将会使 EM78P458/459 从休眠模式唤醒 控制器唤醒后, 如果禁止全局中断, 则将接着顺序执行程序 ; 如果全局中断被使能, 将跳转到中断向量 008H 处开始执行 中断状态寄存器 RF 的相关标志位记录相应的中断请求 IOCF0 是中断屏蔽寄存器 全局中断可通过执行 ENI 指令使能, 通过执行 DISI 指令禁止 当某个中断 ( 若使能 ) 产生, 下一条指令将跳转到 008H 地址 一旦进入中断服务子程序, 可通过轮询 RF 标志位的方式判断中断源 在离开中断服务子程序前, 必须通过指令清除中断标志位以避免中断嵌套 当有中断产生, 中断状态寄存器 (RF) 的标志位 (ICIF 位除外 ) 将被置位, 不论其相应屏蔽位是否使能或是否执行了 ENI 指令 必须注意的是, 读取 RF 所得到的值是 RF 和 IOCF0( 参考图 5-10) 逻辑相与的结果 RETI 指令终止中断服务子程序并使能全局中断 ( 执行 ENI). 如果中断是由 INT 指令 ( 若使能 ) 产生, 下一条指令将跳转到地址 001H 图 5-10 中断输入电路 产品规格书 (V1.7)

34 5.7 模数转换器 (ADC) 模数转换电路由一个 8 位模拟复用器, 三个控制寄存器 (ADCON/R9, AD-CMP-CON/ IOCA0, GCON/IOC90), 一个数据寄存器 (ADDATA/RA), 和一个 8 位分辨率的 ADC 组成 ADC 的功能结构图如图 5-11 所示 模拟参考电压 (Vref) 和模拟地通过分离输入引脚相连 ADC 模块利用逐次逼近式方式转换未知模拟信号为一个数字信号 转换结果存入 ADDATA 输入通道可通过设置 ADCON 寄存器的 Bits ADIS0 ADIS1 和 ADIS2 选择模拟输入复用器进行选择 ADC8 ADC7 ADC6 ADC5 ADC4 ADC3 ADC2 ADC1 - + OP2 + OP1-8-1 Analog Switch Fsco Internal RC AD-CMPCON ADCON AD-CMPCON RF ADDATA ADCON GCON DATA BUS 4-1 MUX 图 5-11 模数转换功能结构图 ADC ( successive approximation ) ADC 控制寄存器 (ADCON/R9, AD-CMP-CON/IOCA0, GCON/IOC90) ADCON/R9 ADCON 寄存器控制 A/D 转换操作并且定义哪个引脚当前使能 Start to Convert Vref Power-Down Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 SYMBOL - - IOCS ADRUN ADPD ADIS2 ADIS1 ADIS0 * 初始值 * 初始值 : 上电复位时的初始值 ADRUN (Bit 4): ADC 开始运行 0: 转换完成后复位 此位可由软件清零 1: A/D 转换开始 此位可由软件置 1 ADPD (Bit 3): ADC 电源开关 0: 当 CPU 运行时, 关闭电阻器, 可节电 1: ADC 运行 ADIS2 ~ ADIS0 (Bits 2 ~ 0): 模拟输入选择 26 产品规格书 (V1.7)

35 000 = AN0 001 = AN1 010 = AN2 011 = AN3 100 = AN4 101 = AN5 110 = AN6 111 = AN7 仅当 ADIF 位和 ADRUN 位均为低时才可改变 AD-CMP-CON/IOCA0 AD-CMP-CON 寄存器分别定义 Port 6 的各引脚是作为模拟输入引脚还是数字 I/O 引脚 Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 SYMBOL VREFS CE COE IMS2 IMS1 IMS0 CKR1 CKR0 * 初始值 * 初始值 : 上电复位时的初始值 VREFS (Bit 7): ADC 参考电压的输入源 0: ADC Vref 连接到 Vdd( 默认值 ),P53/VREF 引脚用作 P53 1: ADC Vref 连接到 P53/VREF CE (Bit 6): 比较器使能控制位 0: 禁止比较器 1: 使能比较器 COE (Bit 5): 设置 P57 作为比较器输出 0: 如果 CE=1, 比较器用作 OP 1: 如果 CE=1, 用作比较器 IMS2 ~ IMS0 (Bit 4 ~ Bit 2): ADC 配置定义位 CKR1 and CKR0 (Bit 1 and Bit 0): 转换时间选择位 00 = Fosc/4 01 = Fosc/16 10 = Fsco/64 11 = ADC 振荡时钟源取自 WDT 振荡器频率 ( 频率 =256/18ms 14.2kHz) 产品规格书 (V1.7)

36 GCON/IOC90 如图 5-11 所示, 增益放大器 OP1 和 OP2 位于模拟输入引脚 (ADC1 和 ADC5) 与 8 选 1 模拟开关之间 GCON 寄存器控制增益 表 5-5 表 5-5 列出了 ADC 的增益和工作电压范围 Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 SYMBOL OP2E OP1E G22 G21 G20 G12 G11 G10 * 初始值 表 5-6 ADC 的增益和工作电压范围 G10:G12/G20:G22 增益 工作电压范围 ~ Vref ~ (1/2)Vref ~ (1/4)Vref ~ (1/8)Vref ~ (1/16)Vref ~ (1/32)Vref 注意 Vref 不能小于 3V ADC 数据寄存器 (ADDATA/RA) 当 A/D 转换完成, 转换结果存入 ADDATA START/END 位清零,ADIF 位置 A/D 采样时间 精度 线性和逐次逼近式 A/D 转换器的速率取决于 ADC 和比较器的特性 源阻抗和内部采样阻抗直接影响释放采样保持电容上电荷所需要的时间 应用程序控制采样时间的长度以符合特定精度需求 一般而言, 对于每 KΩ 源阻抗, 程序应该等待大约 1µs, 对于低阻抗源而言, 至少应该等待 1µs 模拟输入通道选定后, 在开始转换之前采样时间必须确定下来 A/D 转换时间 CKR0 和 CKR1 依据指令周期选择转换时间 (Tct) 这样允许 MCU 可在不牺牲 A/D 转换精 度的条件下以最高频率运行 对于 EM78P458/459, 每位元转换时间大约为 4µs 表 5-7 显示 Tct 和最高工作频率之间的关系 表 5-7 Tct 与最高工作频率 CKR0:CKR1 工作模式 最大工作频率 00 Fsco/4 1 MHz 01 Fsco/16 4 MHz 10 Fsco/64 16 MHz 11 内部 RC - 28 产品规格书 (V1.7)

37 5.7.5 休眠模式下的 A/D 运行 为减少功耗,A/D 在休眠模式下仍保持运行, 而且应该采用内部 RC 时钟源模式 当执行 了 SLEP 指令后, 除了 A/D 转换外,MCU 所有操作都将停止 转换完成后,RUN 位清零, 转换结果填入 ADDATA 如果 ADIE 使能, 器件将被唤醒 否则, 无论 ADPD 位状态,A/D 转换都将被关闭 编程步骤 / 注意事项 编程步骤 遵循如下步骤获取 ADC 转换结果 : (1) 改变 AD-CMP-CON1 寄存器的三位 (IMS2 ~ IMS0) 以定义 R6 引脚特性 : 用作数字 I/O, 模拟通道和电压参考引脚 (2) 改变 ADCON 寄存器以配置 AD 模块 : (a) 选择 A/D 输入通道 (ADAS2~ADAS0) (b) 改变 GCON 寄存器选择适当的增益 ( 此步可选 ) (c) 定义 A/D 转换时间 ( CKR1:CKR0 ) (d) 设置 ADPD 位为 1, 打开 AD 电源 (3) 如果要用到中断功能, 执行 ENI 指令 (4) 设置 ADRUN 位为 1 (5) 等待中断标志位置位或 ADC 中断产生 (6) 读取转换数据寄存器 ADDATA (7) 清零中断标志位 (ADIF) (8) 对于下次转换, 按需求跳转到步骤 1 或步骤 2 不过在下次采集之前至少应该等待 2 Tct 注意 为获取精确值, 在转换过程中应该避免在 I/O 引脚上的数据传输 示范程序 ; 定义通用寄存器 R_0 == 0 PSW == 3 PORT5 == 5 PORT6 == 6 R_F== 0XF ; 间接寻址寄存器 ; 状态寄存器 ; 中断状态寄存器 产品规格书 (V1.7)

38 ; 定义控制寄存器 IOC50 == 0X5 IOC60 == 0X6 C_INT== 0XF ; Port 5 控制寄存器 ; Port 6 控制寄存器 ; 中断控制寄存器 ;ADC 控制寄存器 ADDATA == 0xA ; ADC 转换值 ADCON R== 0x9 ; ; - - IOCS ADRUN ADPD ADIS2 ADIS1 ADIS0 ADCONC== 0xA ; ; VREFS X X IMS2 IMS1 IMS0 CKR1 CKR0 GCON == 0x9 ; ; OPE2 OPE1 G22 G21 G20 G12 G11 G10 ; 定义位 ; 在 ADCONR ADRUN == 0x4 ; 此位置位后,ADC 开始转换 ADPD == 0x3 ; ADC 电源模式 ORG 0 JMP INITIAL ; ; 起始地址 ORG 0x08 ; 中断向量 ( 用户代码 ) CLR R_F ; 清除 ADCIF 位 BS ADCONR, ADRUN ; 如有必要, 开始下一次 AD 转换 RETI INITIAL: MOV ; 使能 ADC 中断, 应用 X IOW C_INT MOV ; 禁止中断 :<6> CONTW MOV ; 采用 Vdd 作为参考电压, 定义 P60 用作模拟输入并 ; 且设置转换速率为 fosc/4 30 产品规格书 (V1.7)

39 En_ADC: MOV ; 定义 P60 为输入引脚, 其他的取决于 IOW PORT6 的 ; 应用 MOV ; 使能 OP1 并且设置增益为 32 IOW GCON BS ADCONR, ADPD ; 禁止 ADC 掉电模式 ENI ; 使能中断功能 BS ADCONR, ADRUN ; 开始运行 ADC ; 如果要采用中断功能, 以下三行可以省略轮询 : JBC ADCONR, ADRUN ; 持续检查 ADRUN 位 JMP POLLING ; ADRUN 在 AD 转换完成后将复位 ( 用户代码 ) : 5.8 两路 PWM ( 脉宽调制 ) 综述 在 PWM 模式,PWM1 和 PWM2 引脚均可产生 10 位分辨率的 PWM 输出 ( 见图 5-12 功能结构图 ) 一个 PWM 输出由一个周期和一个占空周期比构成, 占空周期内输出保持为高 PWM 波特率是周期的相反数 图 5-13 描绘了周期和占空周期之间的关系 Fosc 1:2 1:8 1:32 1:64 MUX DT1H + DT1L DL1H + DL1L Comparator TMR1H + TMR1L reset latch Duty Cycle Match R S Q To PWM1IF PWM1 IOC51 T1P0 T1P1 T1EN PRD1 Comparator Period Match Data Bus Data Bus DL2H + DL2L latch To PWM2IF Fosc 1:2 1:8 1:32 1:64 T2P0 T2P1 T2EN MUX DT2H + DT2L PRD2 Comparator TMR2H + TMR2L reset Comparator Duty Cycle Match R S Q Period Match IOC51 PWM2 产品规格书 (V1.7) 图 5-12 两路 PWM 功能结构图 31

40 Period Duty Cycle DT1 = TMR1 PRD1 = TMR1 图 5-13 PWM 输出时序 加定时计数器 (TMRX: TMR1H/TWR1L 或 TMR2H/TWR2L) TMRX 是带可编程预分频的 10 位时钟计数器 它们被设计用来用作 PWM 模块的波特率时钟发生器 TMRX 可读 / 写并在任何复位条件下清零 如果被采用, 它们可通过设置 T1EN 位 [PWMCON<4>] 或 T2EN 位 [PWMCON<5>] 为 0 而关闭以节省功耗 PWM 周期 (PRDX: PRD1 或 PRD2) 写值到 PRDX 寄存器定义 PWM 周期 当 TMRX 等于 PRDX, 下一个指令周期时将发生以下事件 : TMRX 清零 PWMX 引脚置 1 PWM 占空周期从 DT1/DT2 锁存到 DTL1/DTL2 注意如果占空周期为 0,PWM 输出不能被置位 PWMXIF 引脚置 1 以下公式描述如何计算 PWM 周期 : 周期 = 1 ( PRDX + 1 ) 4 ( TMRX Pr escale value) Fosc PWM 占空周期 (DTX: DT1H/DT1L 和 DT2H/ DT2L; DTL: DL1H/DL1L 和 DL2H/DL2L) 写值到 DTX 寄存器定义 PWM 占空周期, 当 TMRX 清零后从 DTX 锁存到 DLX 当 DLX 等于 TMRX,PWMX 引脚清除 DTX 可在任何时间被加载 然而, 在当前 DLX 值与 TRMX 前相等前, 它不可被锁存到 DTL 以下公式描述如何计算 PWM 占空周期 : 占空周期 = 1 ( DTX ) ( TMRX Pr escale value) Fosc 32 产品规格书 (V1.7)

41 5.8.5 比较器 X 当发生匹配改变输出状态的同时,TMRXIF 标志将被置位 PWM 编程步骤 (1) 加载 PWM 周期到 PRDX 寄存器 (2) 加载 PWM 占空周期到 DTX 寄存器 (3) 若需要, 写值 IOCF0 使能中断功能 (4) 写适当值到 IOC51 设置 PWMX 引脚为输出引脚 (5) 加载一个适当值到 IOC51 配置 TRMX 分频比并使能 PWMX 和 TMRX 5.9 计时器 功能描述 定时器 1 (TMR1) 和定时器 2 (TMR2) (TMRX) 是带可编程预分频比的 10 位时钟计数器 它们被设计用作 PWM 模块提供波特率发生器 TMRX 可读 / 写, 并可在任何复位条件下清除 功能描述 图 5-14 显示 TMRX 结构框图 每个信号和结构描述如下 : Fosc 1:2 1:8 1:32 1:64 MUX TMR1X reset To PWM1IF Period Match T1P0 T1P1 T1EN Comparator Data Bus PRD1 Data Bus PRD2 T2P0 T2P1 T2EN Comparator Fosc 1:2 1:8 1:32 1:64 MUX TMR2X reset To PWM2IF Period Match *TMR1X = TMR1H + TMR1L; *TMR2X = TMR2H +TMR2L 产品规格书 (V1.7) 图 5-14 TMRX 功能结构图 33

42 Fosc: 输入时钟 预分频 (T1P0 和 T1P1/T2P1 和 T2P0): 1:2, 1:8, 1:32 和 1:64 由 TMRX 定义 它在任何复位条件下清零 TMR1X 和 TMR2X (TMR1H/TWR1L 和 TMR2H/TMR2L): 定时器 X 寄存器 ; TMRX 在与 PRDX 匹配之前加 1 计数, 发生匹配后复位为 0 TMRX 不可读 PRDX (PRD1 和 PRD2): PWM 周期寄存器 比较器 X ( 比较器 1 和比较器 2): 匹配发生时复位 TMRX 的同时,TMRXIF 标志置位 编程相关寄存器 当定义 TMRX, 参考表 9 相关操作寄存器 必须注意的是, 当其相应的 TMRXs 被应用时 PWMX 位必须禁止 也即,PWMCON 寄存器的 bit7 和 bit6 必须置 0 表 5-8 TMR1 和 TMR2 相关控制寄存器 地址 IOC51 名称 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 PWMCON/IOC51 PWM2E PWM1E T2EN T1EN T2P1 T2P0 T1P1 T1P 定时器编程步骤 (1) 加载定时器周期到 PRDX (2) 如果需要, 写值到 IOCF0 使能中断功能 (3) 加载一个适当值到 PWMCON 寄存器配置 TMRX 预分频值并且使能 TMRX, 禁止 PWMX 5.10 比较器 EM78P458/459 有一个比较器, 它有两个模拟输入和一个输出端口 比较器可用于将器件从休眠模式唤醒 图 5-15 描绘了比较器电路 Cin- - Cin+ CO CMP + 图 5-15 比较器工作模式 外部参考信号 Cin- 端的模拟信号与 Cin+ 端的信号相比较, 比较器的数字输出端 (CO) 作相应调整 参考电压必须间于 Vss 和 Vdd 参考电压可加载到比较器的任一输入端 34 产品规格书 (V1.7)

43 极值检测应用可用于相同参考电压 比较器可取自相同或不同参考源 比较器输出 比较结果存储在 R3 寄存器的 CMPOUT 位 通过设置 AD-CMPCON 寄存器的 bit5<coe> 为 1 可使比较器输出到 P57 P57 如果用于比较器输出, 必须设置为输出 图 5-16 显示了比较器输出结构图 To C0 From OP I/O CMRD EN EN Q D Q D To CMPOUT RESET To CPIF CMRD From other comparator 用作运算放大器 图 5-16 比较器输出配置 如果在输入和输出端外接一个反馈电阻, 比较器可被用于一个运算放大器 在此情况下, 施密特触发器可通过设置 CE 为 1 并且 COE 为 0 禁止以节省功耗 中断 CMPIE (IOCF0.6) 必须使能 比较器输出引脚信号的上升沿触发产生中断 引脚的实际变化可通过读取 R3<7> Bit CMPOUT 来判定 CMPIF (RF.6), 比较器中断标志位, 仅可由软件清零 产品规格书 (V1.7)

44 从休眠模式唤醒 若使能, 即使是在休眠模式下, 比较器仍在运行并且中断功能仍然有效 当产生非匹配, 中断将器件从休眠模式唤醒 为节省能源, 必须考虑到功耗问题 如果在休眠模式下未用到此功能, 在进入休眠模式前关闭比较器 5.11 复位后的初始值 表 5-9 寄存器初始值的总结 地址 N/A N/A N/A N/A N/A N/A N/A 名称 IOC50 IOC60 IOCB0 IOCC0 IOCD0 IOCE0 IOCF0 复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 位名 C57 C56 C55 C54 C53 C52 C51 C50 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 C67 C66 C65 C64 C63 C62 C61 C60 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 /PD7 /PD6 */PD5 */PD4 /PD3 /PD2 /PD1 /PD0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 OD7 OD6 OD5 OD4 OD3 OD2 OD1 OD0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 /PH7 /PH6 /PH5 /PH4 /PH3 /PH2 /PH1 /PH0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 WDTE EIS X X X X X X 上电 /RESET 和 WDT 引脚状态改变唤醒 P P 位名 X CMPIE PMW2I PWM1I ADIE EXIE ICIE TCIE E E 上电 /RESET 和 WDT 引脚状态改变唤醒 0 P P P P P P P 36 产品规格书 (V1.7)

45 ( 续 ) 地址 N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A 名称 IOC90 (GCON) IOCA0 (AD-CMP CON) IOC51 (PWM CON) IOC61 (DT1L) IOC71 (DT1H) IOC81 (PRD1) IOC91 (DT2L) IOCA1 (DT2H) IOCB1 (PRD2) IOCC1 (DL1L) 复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 位名 OP2E OP1E G22 G21 G20 G12 G11 G10 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 VREFS CE COE IMS2 IMS1 IMS0 CKR1 CKR0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 PWM2E PWM1E T2EN T1EN T2P1 T2P0 T1P1 T1P0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P PWM PWM 位名 CALI1 SIGN1 VOF1[2] VOF1[1] VOF1[0] X 1[9] 1[8] 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P 0 P P 位名 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P PWM PWM 位名 CALI2 SIGN2 VOF2[2] VOF2[1] VOF2[0] X 2[9] 2[8] 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P 0 P P 位名 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 产品规格书 (V1.7)

46 ( 续 ) 地址 N/A N/A N/A N/A 名称 IOCD1 (DL1H) IOCE1 (DL2L) IOCF1 (DL2H) CONT 0x00 R0 (IAR) 0x01 R1 (TCC) 0x02 0x03 R2 (PC) R3 (SR) 复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 位名 X X X X X X Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 X X X X X X Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P 位名 INTE INT TS TE PAB PSR2 PSR1 PSR0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 上电 U U U U U U U U /RESET 和 WDT P P P P P P P P 引脚状态改变唤醒 P P P P P P P P 位名 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 上电 /RESET 和 WDT 引脚状态改变唤醒 跳转至 0x08 或继续执行下一条指令 位名 CMPOUT PS1 PS0 T P Z DC C 上电 U U U /RESET 和 WDT t t P P P 引脚状态改变唤醒 P P P t t P P P 位名 BS7 BS 上电 0 0 U U U U U U 0x04 R4 (RSR) /RESET 和 WDT 0 0 P P P P P P 0x05 0x06 P5 P6 引脚状态改变唤醒 P P P P P P P P 位名 P57 P56 P55 P54 P53 P52 P51 P50 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 P67 P66 P65 P64 P63 P62 P61 P60 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 38 产品规格书 (V1.7)

47 ( 续 ) 地址 0x07 ~ 0x08 0x09 0x0A 0x0B 0x0C 0x0D 0x0E 0x0F 0x10~ 0x3F 名称 R7~R8 R9 (ADCON) RA (ADDDATA) RB (TMR1L) RC (TMR1H) RD (TMR2L) RE (TMR2H) RF (ISR) R10~R3F 复位类型 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 位名 上电 U U U U U U U U /RESET 和 WDT P P P P P P P P 引脚状态改变唤醒 P P P P P P P P 位名 X X IOCS ADRUN ADPD ADIS2 ADIS1 ADIS0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 X X X X X X Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P 位名 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P P P P P P P 位名 X X X X X X Bit 1 Bit 0 上电 /RESET 和 WDT 引脚状态改变唤醒 P P 位名 X CMPIF PWM2IF PWM1IF ADIF EXIF ICIF TCIF 上电 /RESET 和 WDT 引脚状态改变唤醒 0 P P P P P P P 位名 上电 U U U U U U U U /RESET 和 WDT P P P P P P P P 引脚状态改变唤醒 P P P P P P P P 说明 : = 未使用 P = 复位前的值 u = 位置或无关 t = 查表 4-3 产品规格书 (V1.7)

48 5.12 振荡器 振荡模式 EM78P458 和 EM78P459 可工作在四个不同的振荡模式, 也即 : 高频晶振模式 (HXT) 低频晶振模式 (LXT) 外部 RC 振荡模式 (ERC) 内部电容的 RC 振荡模式 (IC) 用户可通过编程设置 MASK 代码选项选择某一振荡模式 晶振 / 谐振器在不同 VDD 条件下的最高工作频率列与表 5-10 表 5-10 最高工作频率汇总 条件 VDD 最大频率 (MHz) 基于两个时钟周期 晶振 / 陶瓷谐振器 ( 晶体 ) EM78P458/459 可由如图 5-17 所示的通过 OSCI 引脚的外部时钟信号驱动 OSCI EM78P458 EM78P459 Ext. Clock OSCO 图 5-17 外部时钟输入电路在大多数应用中,OSCI 和 OSCO 引脚可连接晶振或陶瓷谐振器以产生振荡 图 5-18 描绘了这样的电路 此电路同样适用于 HXT 模式和 LXT 模式 表 5-11 列出了 C1 和 C2 的建议值 因为每个谐振器都有它自己的特性, 用户应该参考其规格以选择合适的 C1 和 C2 值 对于 AT 切片晶体或低频模式, 可能需要一个串接电阻 RS OSCI C1 EM78P458 EM78P459 OSCO XTAL RS C2 图 5-18 晶振 / 陶瓷谐振器电路 40 产品规格书 (V1.7)

49 表 5-11 晶振或陶瓷谐振器电容选择指南 振荡类型陶瓷谐振器晶振 频率模式 HXT LXT HXT 频率 C1 (pf) C2 (pf) 455kHz 100~ ~ MHz 20~40 20~ MHz 10~30 10~ kHz kHz kHz kHz 20~40 20~ MHz 15~30 15~ MHz MHz 外部 RC 振荡模式 对于一些不需要精确计时的应用,RC 振荡器 ( 图 5-19) 提供了一种有效节省成本的方案 然而, 应该注意到,RC 振荡器的频率会受供电电压 电阻 (Rext) 电容(Cext) 甚至工作温度的影响 另外, 因为制程差异, 一个器件的频率与另外一个器件的频率也会存在细微的差别 为了维持在一个稳定的系统频率下,Cext 值应该大于 20 pf,rext 值不高于 1M ohm 如果它们不在此范围内, 系统频率很容易受噪声 湿度和漏电流的影响 在 RC 振荡模式中,Rext 值越小, 其振荡频率越快 相反, 对一个非常小的 Rext 值, 例如 1 KΩ, 振荡器将变得不稳定 因为 NMOS 不能及时的释放电容电荷 基于以上原因, 必须时刻牢记, 供电电压 工作温度 RC 振荡器的元件特性 封装类型 PCB 制版等因素都会对系统频率产生影响 Vcc Rext OSCI EM78P458 EM78P459 Cext 图 5-19 外部 RC 振荡模式电路 产品规格书 (V1.7)

50 表 5-12 RC 振荡频率 Cext Rext 平均 Fosc 5V, 25 C 平均 Fosc 3V, 25 C 3.3k 3.57 MHz 2.94 MHz 20 pf 5.1k 2.63 MHz 1.92 MHz 10k 1.30 MHz 1.22 MHz 100k 150kHz 153kHz 3.3k 1.43 MHz 1.35 MHz 100 pf 5.1k 980kHz 877kHz 10k 520kHz 465kHz 100k 57kHz 54kHz 3.3k 510kHz 470kHz 300 pf 5.1k 340kHz 320kHz 10k 175kHz 170kHz 100k 19kHz 19kHz 注意 : 1. 在 DIP 封装上测量 2. 仅供设计参考 带内部电容的 RC 振荡模式 如果既要考虑精度又要考虑成本,EM78P458/459 提供了一个特殊的振荡模式 它配备有一个内部电容和一个外部电阻 ( 连接到 Vcc) 内部电容用作温度补偿 为获得更精确的频率, 建议使用精密电阻 Vcc Rext OSCI EM78P458 EM78P459 表 5-13 R 震荡频率 Rext 图 5-20 内部 C 振荡模式电路 平均 Fosc 5V, 25 C 平均 Fosc 3V, 25 C 51k 2.22 MHz 2.17 MHz 100k 1.15 MHz 1.14 MHz 300k 375kHz 370 KHz 注意 : 1 以 DIP 封装类型 IC 测量 2 仅供设计参考 42 产品规格书 (V1.7)

51 5.13 上电考量 在供电电压达到稳定状态前, 任何微控制器都不能确保正常工作 EM78P458/459 的上电复位电压点 (POR) 范围为 1.2V~1.8V 在普通应用条件下, 当电源被关闭, 在电源再次开启之前,Vdd 必须下降到 1.2V 并且保持在关闭大约 10us 在此条件下,EM78P458/459 将产生复位并正常工作 如果 Vdd 可快速上升 (50 ms 或更少 ) 然而, 在关键应用电路条件下, 必须提供外部器件以辅助解决上电问题 外置上电复位电路 图 5-21 提供了一个利用外部 RC 产生复位脉冲的电路 脉冲宽度 ( 时间常数 ) 应该足够长以使 Vdd 达到最低工作电压 此电路用在供电电压上升很慢的情况 因为 /RESET 引脚的漏电流大约为 ±5µA, 因此建议 R 值不要大于 40 KΩ 此时,/RESET 引脚电压保持在 0.2V 以下 二极管 (D) 在掉电时作为短路回路 电容 C 将快速充分放电 限流电阻 Rin 可防止高电流或 ESD( 静电释放 ) 灌入 /RESET 引脚 VDD /RESET EM78P458 EM78P459 Rin R C D 残留电压保护 图 5-21 外置上电复位电路 更换电池时, 器件电源 (Vdd) 关断, 但仍会存在残留电压 残留电压可能会掉到低于最小工作电压, 但不为零 此条件可能触发一个不良上电复位 图 5-22 和 5-23 显示了怎样建立残留电压保护电路 VDD VDD EM78P458 EM78P459 /RESET Q1 100K 10K 33K 1N4684 产品规格书 (V1.7) 图 5-22 残留电压保护电路 1 43

52 VDD VDD EM78P458 EM78P459 /RESET Q1 R3 R1 R2 图 5-23 残留电压保护电路 代码选项 EM78P458/459 有一个代码选项字和一个用户 ID 字, 它们不属于普通代码空间的一部分 代码选项寄存器 (Word 0) Bit Bit 12 Bit 11 Bit 10 Bit 9 Bit 8 Bit 7 Bit 6 Bit 5~Bit 0 Mine monic MS /ENWDT CLKS /PTB HLF RCT HLP ID 1 晶体禁止 0 RC 使能 4 个时钟 2 个时钟 禁止高频 1 高频 - 使能低频 0 低频 - Bit 12 (MS): 振荡类型选择 0: RC 类型 1: 晶体类型 Bit 11 (/ENWTD): 看门狗定时器使能位 0: 使能 1: 禁止 Bit 10 (CLKS): 单个指令周期保护的时钟周期数 0: 两个时钟 1: 四个时钟 参考指令集一节 Bit 9 (/PTB): 保护位 0: 使能 1: 禁止 44 产品规格书 (V1.7)

53 Bit 8 (HLF): 晶振频率选择 0: 低频 1: 高频 Bit 7 (RCT): 电阻电容 0: 内部 C, 外部 R 1: 外部 RC Bit 6 (HLP): 功耗选择 0: 低功耗 1: 高功耗 Bit 5 ~ Bit 0 (ID[5]~ID[0]): 客户 ID 5.15 指令集 指令集中的每条指令均是 13 位, 指令由一个 OP 操作码和一个或多个操作数组成 一般 情况下, 除了会改变了程序计数器的值 ("MOV R2,A", "ADD R2,A") 的指令或者对 R2 的算 术或逻辑操作 ( 例如. "SUB R2,A", "BS (C) R2,6", "CLR R2", ) 需要两个指令周期外, 所有的指令都只占用单个指令周期 ( 一个指令周期包含 2 个振荡周期 ) 另外, 指令集具有如下特性 : (1) 任何寄存器的每个位可被置位, 清 0 或直接测试 (2) I/O 寄存器可被当作通用寄存器 也就是说, 相同的指令也可用来操作 I/O 寄存器 指令集约定 : R = 一个寄存器指示符, 用来指定指令操作哪个寄存器 ( 包括操作寄存器和通用寄存器 ) b = 表示一个位指示符, 指定位于 R 寄存器中会影响操作的位 k = 一个 8 位或 10 位常数或立即数 产品规格书 (V1.7)

54 表 5-14 EM78P458/459 指令集列表 助记符 操作 受影响标志位 NOP 无操作无 DAA A 累加器十进制调整 C CONTW A CONT 无 SLEP 0 WDT, 振荡器停振 T, P WDTC 0 WDT T, P 1 IOW R A IOCR 无 < 无 > ENI 使能全局中断无 DISI 禁止全局中断无 RET [ 栈顶 ] PC 无 RETI [ 栈顶 ] PC, 使能中断无 CONTR CONT A 无 1 IOR R IOCR A 无 < 无 > MOV R,A A R 无 CLRA 0 A Z CLR R 0 R Z SUB A,R R-A A Z, C, DC SUB R,A R-A R Z, C, DC DECA R R-1 A Z DEC R R-1 R Z OR A,R A VR A Z OR R,A A VR R Z AND A,R A & R A Z AND R,A A & R R Z XOR A,R A R A Z XOR R,A A R R Z ADD A,R A + R A Z, C, DC ADD R,A A + R R Z, C, DC MOV A,R R A Z MOV R,R R R Z COMA R /R A Z COM R /R R Z INCA R R+1 A Z INC R R+1 R Z DJZA R R-1 A, 若为 0, 则跳过无 DJZ R R-1 R, 若为 0, 则跳过无 46 产品规格书 (V1.7)

55 助记符 RRCA R RRC R RLCA R RLC R SWAPA R 操作 R(n) A(n-1), R(0) C, C A(7) R(n) R(n-1), R(0) C, C R(7) R(n) A(n+1), R(7) C, C A(0) R(n) R(n+1), R(7) C, C R(0) R(0-3) A(4-7), R(4-7) A(0-3) 受影响标志位 SWAP R R(0-3) R(4-7) 无 JZA R R+1 A, 若为 0, 则跳过无 JZ R R+1 R, 若为 0, 则跳过无 2 BC R,b 0 R(b) 无 < 无 > 3 BS R,b 1 R(b) 无 < 无 > JBC R,b 若 R(b)=0, 跳过无 JBS R,b 若 R(b)=1, 跳过无 CALL k PC+1 [SP], (Page, k) PC JMP k (Page, k) PC 无 MOV A,k k A 无 OR A,k A k A Z AND A,k A & k A Z XOR A,k A k A Z RETL k k A, [ 栈顶 ] PC SUB A,k k-a A Z,C,DC INT PC+1 [SP], 001H PC ADD A,k k+a A Z,C,DC TBL R2+A R2 R2 Bits 8~9 不变 C C C C 无 无 无 无 Z,C,DC 注意 : 1 此指令仅用于 IOC50~IOC60, IOC90 ~ IOCF0, IOC51~ IOCF1 2 此指令不建议用于 RF 操作 3 此指令不可用于操作 产品规格书 (V1.7)

56 5.16 时序图 AC Test Input/Output Waveform TEST POINTS AC Testing : Input is driven at 2.4V for logic "1",and 0.4V for logic "0".Timing measurements are made at 2.0V for logic "1",and 0.8V for logic "0". RESET Timing (CLK="0") NOP Instruction 1 Executed CLK /RESET Tdrh TCC Input Timing (CLKS="0") Tins CLK TCC Ttcc 48 产品规格书 (V1.7)

57 6 最大绝对值 项目 范围 温度范围 0 C 至 70 C 存储温度 -65 C 至至 150 C 输入电压 -0.3V 至至 +6.0V 输出电压 -0.3V 至至 +6.0V 7 电气特性 符号 Fxt 7.1 直流电气特性 Ta=0 C ~ 70 C, VDD=5.0V ± 5%, VSS=0V 参数 条件 最小值典型值最大值 单位 XTAL: VDD = 3V DC 8 MHz 一条指令为两个时钟周期 XTAL: VDD = 5V DC 20 MHz RC: VDD = 5V R: 5.1KΩ, C: 100pF F±30% 760 F±30% khz IIL 输入引脚输入漏电流 VIN = VDD, VSS ±1 µa VIH1 输入高电压,VDD=5V Ports 5, V VIL1 输入低电压,VDD=5V Ports 5, V VIHT1 输入高临界电压,VDD=5V /RESET, TCC 2.0 V VILT1 输入低临界电压,VDD=5V /RESET, TCC 0.8 V VIHX1 时钟输入高电压,VDD=5V OSCI 2.5 V VILX1 时钟输入低电压,VDD=5V OSCI 1.0 V VIH2 输入高电压,VDD=3V Ports 5, V VIL2 输入低电压,VDD=3V Ports 5, V VIHT2 输入高临界电压,VDD=3V /RESET, TCC 1.5 V VILT2 输入低临界电压,VDD=3V /RESET, TCC 0.4 V VIHX2 时钟输入高电压,VDD=3V OSCI 1.5 V VILX2 时钟输入低电压,VDD=3V OSCI 0.6 V VOH1 输出高电压 (Ports 5, 6) IOH = ma 2.4 V VOL1 输出低电压 (P51~P57, P60~P63, P66~P67) IOL = 12.0 ma 0.4 V VOL2 输出低电压 (P64,P65) IOL = 16.0 ma 0.4 V IPH IPD 上拉电流 下拉电流 使能上拉, 输入引脚接到 VSS 使能下拉, 输入引脚接到 VDD µa µa 产品规格书 (V1.7)

58 ( 续 ) 符号 参数 条件 最小值典型值最大值 单位 ISB 掉电电流 所有输入和 I/O 引脚接到 VDD, 输出引脚悬空,WDT 使能 10 µa ISB 掉电电流 所有输入和 I/O 引脚接到 VDD, 输出引脚悬空,WDT 禁止 1 µa ICC1 ICC2 两个时钟周期下的工作供电电流 (VDD=3V) 两个时钟周期下的工作供电电流 (VDD=3V) /RESET=' 高 ',Fosc=32KHz ( 晶振类型, 两个时钟周期 ), 输出引脚悬空, WDT 禁止 /RESET= 高 ',Fosc=32KHz ( 晶振类型, 两个时钟周期 ), 输出引脚悬空, WDT 使能 µa µa ICC3 两个时钟周期下的工作供电电流 (VDD=5.0V) /RESET=' 高 ', Fosc=2MHz ( 晶振类型, 两个时钟周期 ), 输出引脚悬空 2 ma ICC4 两个时钟周期下的工作供电电流 (VDD=5.0V) /RESET=' 高 ', Fosc=4MHz ( 晶振类型, 两个时钟周期 ), 输出引脚悬空 4.0 ma 7.2 交流电器特性 Ta=0 C ~ 70 C, VDD=5V±5%, VSS=0V 符号 参数 条件 最小值 典型值 最大值 单位 Dclk 输入 CLK 占空周期 % Tins 指令周期时间 (CLKS="0") 晶振类型 RC 类型 DC DC ns ns Ttcc TCC 输入周期 (Tins+20)/N* ns Tdrh 器件复位保持时间 Ta = 25 C ms Trst /RESET 脉冲宽度 Ta = 25 C 2000 ns Twdt 看门狗定时器周期 Ta = 25 C ms Tset 输入引脚启动时间 0 ms Thold 输入引脚保持时间 20 ms Tdelay 输出引脚延迟时间 Cload=20pF 50 ms *N= 所选分频比 50 产品规格书 (V1.7)

59 7.3 A/D 转换器特性 符号 Vdd = 3.0V to 5.5V, Vss = 0V, Ta = 0 to 70 C 参数 条件 最小值典型值最大值单位 VAREF 3.0 Vdd V 模拟参考电压 VAREF VASS 2.5V VASS Vss V VAI 模拟输入电压 VASS VAREF V IAI 模拟供电电流 Vdd=VAREF=5.0V, VASS =0.0V µa RN 分辨率 Vdd=VAREF=5.0V, VASS =0.0V Bits LN 线性误差 Vdd = 2.5 to 5.5V Ta=25 C 0 ±2 ±4 LSB DNL 差分非线性误差 Vdd = 2.5 to 5.5V Ta=25 C 0 ±0.5 ±0.9 LSB FSE 满标度误差 Vdd=VAREF=5.0V, VASS =0.0V ±0 ±2 ±4 LSB OE 补偿误差 Vdd=VAREF=5.0V, VASS =0.0V ±0 ±1 ±2 LSB ZAI 推荐模拟电压源阻抗 KΩ TAD A/D 时钟持续时间 Vdd=VAREF=5.0V, VASS =0.0V µs TCN A/D 转换时间 Vdd=VAREF=5.0V, VASS =0.0V TAD ADIV ADOV A/D OP 输入电压幅度 Vdd=VAREF=5.0V, VASS =0.0V 0 5 V A/D OP 输出电压幅度 Vdd=VAREF=5.0V, VASS =0.0V, RL=10KΩ ADSR A/D OP 转换率 Vdd=VAREF=5.0V, VASS =0.0V V/µs PSR 电源抑制比 Vdd=5.0V±0.5V ±0 ±2 LSB V 注意 1. 这些参数仅为特性值, 未经测试 2. 这些参数仅用于设计参考, 未经测试 3. 当 A/D 关闭, 除了很小的漏电流之外不会消耗其它任何电流 4. A/D 转换不会因输入电压增加而减小, 并且不会丢码 5. 规格所做修改, 不另行通知 产品规格书 (V1.7)

60 7.4 比较器 (OP) 特性 符号 Vdd = 5.0V,Vss=0V,Ta=0 to 70 C 参数 条件 最小值 典型值 最大值单位 SR 回转率 V/µs IVR 输入电压范围 Vdd =5.0V, VSS =0.0V 0 5 V OVS 输出电压摆动 Vd =5.0V, VSS =0.0V, RL=10KΩ Iop OP 供电电流 µa PSRR OP 供电电源抑制比 Vdd= 5.0V, VSS =0.0V db Vos 偏置电压 Vdd= 5.0V, VSS =0.0V ±10 ±20 mv Vs 工作电压范围 V V 注意 1. 这些参数仅为特性值, 未经测试 2. 这些参数仅用于设计参考, 未经测试 3. 规格所做修改, 不另行通知 7.5 器件特性 以下页面所示的曲线图取自有限数量的样品, 在此仅用作设计参考 所以图示器件特性曲线不保证其准确型 有些图片所示曲线数据可能超出保证工作电压 Vih/Vil (Input pins with schmitt inverter) Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) Vih Vil(Volt) Vdd(Volt) 图 7-1 P50 的 Vih, Vil 和 VDD Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) 52 产品规格书 (V1.7)

61 Vih/Vil (Input pins with schmitt inverter) Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) Vih Vil(Volt) Vdd(Volt) 图 7-2 P51,P52,P54 的 Vih, Vil 和 VDD Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) Vih/Vil (Input pins with schmitt inverter) Vih Vil(Volt) Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) Vil max(0 to 70 ) Vil typ 25 Vil min(0 to 70 ) Vdd(Volt) 图 7-3 P53,P55~P57,P60~P67 的 Vih, Vil 和 VDD 产品规格书 (V1.7)

62 Voh/Ioh (VDD=5V) Voh/Ioh (VDD=3V) Ioh(mA) Min 70 Typ 25 Ioh(mA) -6-8 Min 70 Typ Max 0-10 Max Voh(Volt) Voh(Volt) 图.7-4 Port5, Port6, Voh 和.Ioh, VDD=5V 图.7-5 Port5, Port6, Voh 和 Ioh, VDD=3V Vol/Iol (VDD=5V) Vol/Iol (VDD=3V) 80 Max 0 35 Max 0 70 Typ Typ 25 Iol(mA) Min 70 Iol(mA) Min Vol(Volt) Vol(Volt) 图 7-6 Port5,P60~P63,P66,P67 Vol,DD=3V 图 7-7 Port 5, P60~P63, P66, P67 Vol, VDD=5V 54 产品规格书 (V1.7)

63 Vol/Iol (5V) Vol/Iol (3V) 120 Max Max 0 Iol(mA) Typ 25 Min 70 Iol(mA) Typ 25 Min Vol(Volt) Vol(Volt) 图 7-8 P64, P65 Vol 和 Iol, VDD=5V 图 7-9 P64, P65 Vol 和 Iol, VDD=3V W DT Time_out Max ) S (m 20 d p erio T 15 D W Typ 25 Min VDD (Volt) 图 7-10 WDT 溢出周期和 VDD, 预分频比设置为 1:1 产品规格书 (V1.7)

64 Cext=100pF, Typical RC OSC Frequency 1.4 R = 3.3 k 1.2 Frequency(M Hz) R = 5.1 k R = 10 k R = 100 k VDD(Volt) 图 7-11 典型 RC OSC 频率和 VDD (Cext=100pF, 温度为 25 C) 工作电流 ICC1 至 ICC4 分别对应四个条件 如下所述 : ICC1:VDD=3V, Fosc=32 khz, 2clock, WDT 禁止 ICC2:VDD=3V, Fosc=32 khz, 2clock, WDT 使能 ICC3:VDD=5V, Fosc=2 MHz, 2clock, WDT 使能 ICC4:VDD=5V, Fosc=4 MHz, 2clock, WDT 使能 56 产品规格书 (V1.7)

65 Typical ICC1 and ICC2 vs. Temperature Current (ua) Typ ICC2 Typ ICC Temperature ( ) 图 7-12 工作电流典型值 (ICC1 和 ICC2) 和温度 Current (ua) Maximum ICC1 and ICC2 vs. Temperature Max ICC2 Max ICC Temperature ( ) 图 7-13 工作电流最大值 (ICC1 和 ICC2) 和温度 产品规格书 (V1.7)

66 Typical ICC3 and ICC4 vs. Temperature Current (ma) Typ ICC4 Typ ICC Temperature ( ) 图 7-14 工作电流典型值 (ICC3 and ICC4) 和温度 Current (ma) Maximum ICC3 and ICC4 vs. Temperature Max ICC4 Max ICC Temperature ( ) 图 7-15 工作电流最大值 (ICC3 和 ICC4) 和温度 省电电流 ISB1 和 ISB2 分别对应两个条件 如下所述 : ISB1:VDD=5V, WDT 禁止 ISB2:VDD=5V, WDT 使能 58 产品规格书 (V1.7)

67 Typical ISB1 and ISB2 vs. Temperature 12 Current (ua) Typ ISB2 Typ ISB Temperature ( ) 图 7-16 待机电流典型值 (ISB1 和 ISB2) 和温度 12 Maximum ISB1 and ISB2 vs. Temperature Current (ua) Max ISB2 Max ISB Temperature ( ) 图 7-17 待机电流最大值 (ISB1 和 ISB2) 和温度 产品规格书 (V1.7)

68 Operating voltage (0 ~70 ) 25 z ) H q u e n c y (M re F VDD(Volt) 图 7-18 温度范围在 0 至 70 下的工作电压 EM78P458/459 HXT I-V max min 图 MHz 条件下 EM78P458/45 I-V 曲线 60 产品规格书 (V1.7)

69 EM78P458/459 HXT I-V max min 图 MHz 条件下 EM78P458_G/459-G I-V 曲线 EM78P458/459 LXT I-V max min 图 khz 条件下 EM78P458/459 I-V 曲线 产品规格书 (V1.7)

70 EM78P458/459 LXT I-V min max 图 khz 条件下 EM78P458_G/459_G I-V 曲线 62 产品规格书 (V1.7)

71 附录 A 封装类型 OTP MCU 封装类型 引脚数 封装尺寸 EM78P458AP DIP 20 pins 300 mil EM78P458AM SOP 20 pins 300 mil EM78P459AK 小外形 DIP 24 pins 300 mil B 封装信息 20 引脚塑封双列直插封装 (PDIP) 300 mil 产品规格书 (V1.7)

72 20 引脚塑封小外形封装 (SOP) 300 mil 64 产品规格书 (V1.7)

73 24 引脚塑封双列直插封装 (PDIP) 300 mil 产品规格书 (V1.7)

74 66 产品规格书 (V1.7)

一、

一、 TC78P153 ( 文件编号 :S&CIC0994) 1. 概括描述 TC78P153 是采用低功耗高速 CMOS 工艺设计开发的 8 位微控制器 其内部有 1024*13 位一次性可编程只读存储器 (OTP-ROM) 它提供一个保护用于防止用户在 OTP-ROM 中的程序被盗取 ; 拥有 15 个代码选项位以满足用户定制代码功能的需要 利用其 OTP-ROM 特性,TC78P153 可以使用户方便的开发和校验程序.

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc

Microsoft Word - EM78F561N_F661N_CN_ v2.2_FromDCC¦³¼Ð°O_ _.doc 8 位微控制器 产品规格书 版本 2.2 义隆电子股份有限公司 2010.04 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有

More information

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc

Microsoft Word - EM78F662N562N_CN_ v1.0_ _.doc 产品规格书 版本 1.0 义隆电子股份有限公司 2010.03 本文内容是由英文规格书翻译而来, 目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标 版权所有 2009~2010

More information

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc

Microsoft Word - EM78P372N_Product_Specification_CN___ __ver 1.0.doc 8 位 OTP 微控制器 产品规格书 版本 1.0 义隆电子股份有限公司 2010.12 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

Chapter 1

Chapter 1 产品规格书 版本 1.3 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

Chapter 1

Chapter 1 8 位 微 控 制 器 产 品 规 格 书 版 本 1.2 义 隆 电 子 股 份 有 限 公 司 2016.3 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2016 义 隆 电 子 股 份 有

More information

Chapter 1

Chapter 1 8 位 OTP ROM 微控制器 产品规格书 版本 1.5 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性,

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令

1. 介绍 义隆 8 位单片机指令介绍 Application Notes 义隆 8 位单片机指令介绍 EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 1. 介绍 Application Notes EM78/EM88 系列是基于 RISC 的精简指令微控制器, 每条指令是 13 位 15 位, 除 LCALL LJMP MOV R2,A ADD R2,A 改变 PC 指针的指令是 2 个指令 * 周期, 其余为单指令周期 ( 部分第一代芯片 JMP CALL RET RETL RETI JBS JBC JZ JZA DJZ DJZA 指令为 2

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled 8-Bit Microcontroller with Product Specification DOC. VERSION 1.4 ELAN MICROELECTRONICS CORP. July 2004 Trademark Acknowledgments: IBM is a registered trademark and PS/2 is a trademark of IBM. Windows

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

EM65101

EM65101 8 位 微 控 制 器 产 品 规 格 书 版 本 2.3 义 隆 电 子 股 份 有 限 公 司 2013.05 商 标 告 知 : IBM 为 一 个 注 册 商 标,PS/2 是 IBM 的 商 标 之 一 Windows 是 微 软 公 司 的 商 标 ELAN 和 ELAN 标 志 是 义 隆 电 子 股 份 有 限 公 司 的 商 标 版 权 所 有 2013 义 隆 电 子 股 份 有

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

EMC单片机学习笔记.doc

EMC单片机学习笔记.doc EMC 单片机学习笔记 (0) 引子因为工作的缘故, 必须学习 EMC 单片机了 我会把我的学习历程写下来, 算是对坛子的一点贡献, 也算是自己的一个总结吧 因为以前学过 51 的和 PIC HOLTEK 的单片机, 并且也大致了解过 EMC 的指令集, 所以学起来并不是太难 为了学习, 而又没有仿真器, 于是去 emc 的网站下载了一个 simulator 来软件仿真 第一感觉还不错, 把里面的例子程序跑了一下,

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

DL1621-1

DL1621-1 General Description: DL1621-1, 含 LCD 的控制线路, 搭配 MCU 来使用, 将使使用者的成本降低, 以及应用更加. 宽广 Features: 工作电压 2.4V-5.5V 系统频率 : - 内建 RC 振荡器 (256Khz) - 外挂 32768Hz 晶振 - 外灌 256Khz 输入 ( 由 OSCI 脚 ) 提供简单 3 pins 串接接口 (CKRB/ CKWB/

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Chapter 1

Chapter 1 产品规格书 版本 1.2 义隆电子股份有限公司 2016.03 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之一 Windows 是微软公司的商标 ELAN 和 ELAN 标志 是义隆电子股份有限公司的商标 版权所有 2016 义隆电子股份有限公司 所有权利保留台湾印制 本使用说明文件内容如有变动恕不另作通知 关于该规格书的准确性 适当性或者完整性, 义隆电子股份有限公司不承担任何责任

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information