px3se_main_ddr3_v01_

Size: px
Start display at page:

Download "px3se_main_ddr3_v01_"

Transcription

1 ONTENT INEXING 0. INEX 0. hange List 0. lock iagram 0. Power Tree-RK 0. RK Power 06.RK R ontroler 0.RK Flash/S ontroler 0.RK MIS&US 0.RKISPLY/GM ontroler 0.RK MER/HMI ontroler.rk OE/V.RK GPIO.RM-R.Nand Flash/eMM.Power-RK 6.onnector P POWER WIRE WITH INITE No indicate above 0 miles above 0 miles above 0 miles above 6 miles Under needs 6 LYERS P STK TOP Hoz(um) + plating copper(um) 00(6).mil GN 0.mm /oz S 6().mil POWER S 0.mm /oz 00(6).mil OTTOM Hoz(um) + plating copper(um) Index reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

2 Version ate uthor hange Note Note V00 06 HWQ The first edit V0 060 HWQ The parts's position was changed. hange List reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

3 NN Flash emm Flash R/LPR >=G FLSH ontroler R ontroler SMM0 SMM IS SIO WIFI LM PNEL L RG666 US HOST US WIFI TOUH PNEL I URT SPI GPIO I EVIE I RK HMI GPIO EUG URT US OTG I0 IF FRONT MER OOST V JK RT RK PWR OS M SR OE SPEKER PMI MHz HPhone OUT PWR ON -ell Li+ ctivepath attery harger Low Voltage ET KEY OR MI TTERY.V lock iagram reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

4 POWER IGRM V RK6- UK V_RM RM ore V UK V_LOG Logic&GPU V UK V_R PX-SE R-trl R-evice Input V/ V Power Manger V_SYS UK V_IO PX-SE IO Supply PX-SE US PHY Sensor WIFI FLSH PMU TIMER default default hannel (ms) ON/OFF voltage solt: ON.V solt: ON.V solt: ON.V R.V ON solt: RL.V LPR.V VLO X OFF VLO solt: ON.V VLO solt: ON.V VLO X OFF.V VLO solt: ON.V VLO6 solt: ON.V ms ON O PX-SE V_RM V_LOG V_IO/VIO_WL/V_S FLSH/V_L V_R V_OE V_ V_ V_VS_ V_ V_TP attery.v V_IO V LO LO V_OE V_ OE VS/L LO V_ PLL US PHY HMI PHY LO V_VS_ VS V6 LO V_ OE Gas Gauge LO6 V_TP V_TP/V_HMI Fuzhou Rockchip Electronics Project: ate: esigned by: SHEMTI Power Tree-RK6- Wednesday, January, 0 Rev: V.0 ZHM Sheet: of 6

5 RK-K RK-L U000K RK_PX-SE POWER Logic/GPU RM GPIO V V V V V V6 V V V V V VIO VIO VIO VIO V_LOG G K P0 J H G0 V_RM P P P N M N6 T K G V_IO Note: Place these filter capacitors under PU. 0 G K M G P W6 W W W P V H H H0 H H H K J J J0 J J U000L RK_PX-SE GN N N N N0 N N N K M M M M0 M M L L L L L0 L L K K K0 K J V_RM V_IO 000 uf uf uf 00 0.uF 00 0.uF uF 00 0.uF 00 0.uF 00 0.uF 00 0.uF 0 0.uF V_LOG 0 0.uF 0 0.uF 06 0.uF 0 0.uF 0 0.uF 0 0.uF RK Power reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

6 RK-J U000J Memory R_0 R_ R_ R_ R_ R_ R_6 R_ R_M0 R_QS0P R_QS0N R_ R_ R_0 R_ R_ R_ R_ R_ R_M R_QSP R_QSN R_6 R_ R_ R_ R_0 R_ R_ R_ R_M R_QSP R_QSN F F 0 0 G6 G F H6 H J K K H H H 0 E E0 F0 R_Q0 R_Q R_Q R_Q R_Q R_Q R_Q6 R_Q R_QM0 R_QS0 R_QS0n R_Q R_Q R_Q0 R_Q R_Q R_Q R_Q R_Q R_QM R_QS R_QSn R_Q6 R_Q R_Q R_Q R_Q0 R_Q R_Q R_Q R_QM R_QS R_QSn R_0 R_ R_ R_ R_ R_ R_6 R_ R_ R_ R_0 R_ R_ R_ R_ R_ R_0 R_ R_ R_SN0 R_SN R_OT0 R_OT R_LK R_LKn R_KE R_RSn R_Sn R_WEn R_n E E F E E G E 6 G E E F6 V_R R_0 R_ R_ R_ R_ R_ R_6 R_ R_ R_ R_0 R_ R_ R_ R_ R_ R_0 R_ R_ R_S0N R_SN R_OT0 R_OT R_LK R_LKN R_KE0 R_RSN R_SN R_WEN R_RST V_R V_R 0uF uf uF uf 0.uF uf 0.uF 6 uf 0.uF uf 6 0.uF 6 uf R_ R_ R_6 R_ R_ R_ R_0 R_ R_M R_QSP R_QSN K L G K H K6 L L6 L L L R_Q R_Q R_Q6 R_Q R_Q R_Q R_Q0 R_Q R_QM R_QS R_QSn R_V R_V R_V R_V R_V R_V6 R_V R_V M L J H G G G G RK_PX-SE RK R ontroler reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6 6

7 RK-E U000E RK_PX-SE Nand Flash/eMM/SF/SPI GPIO_0/FLSH_0/EMM_0/SF_SIO0_u GPIO_/FLSH_/EMM_/SF_SIO_u GPIO_/FLSH_/EMM_/SF_SIO_u GPIO_/FLSH_/EMM_/SF_SIO_u GPIO_/FLSH_/EMM_/SPI_RX_u GPIO_/FLSH_/EMM_/SPI_TX_u GPIO_6/FLSH_6/EMM_6/SPI_SN0_u GPIO_/FLSH_/EMM_/SPI_SN_u GPIO_0/FLSH_LE/SPI_LK_d GPIO_/FLSH_LE_d GPIO_/FLSH_WRN/SF_SN0_u GPIO_/FLSH_RN/SF_SN_u GPIO_/FLSH_RY/EMM_M/SF_LK_u GPIO_6/FLSH_S0_u GPIO0_/FLSH_S_u GPIO_6/FLSH_S/EMM_M_u GPIO_/FLSH_S/EMM_RST_u GPIO_/FLSH_WP/EMM_PWR_d GPIO_/FLSH_QS/EMM_LKO_u P6 U0 T T0 P R N P N6 N P0 L K L6 L U P V N FLSH_0 FLSH_ FLSH_ FLSH_ FLSH_ FLSH_ FLSH_6 FLSH_ FLSH_LE FLSH_LE FLSH_WRN FLSH_RN FLSH_RY FLSH_S0 FLSH_S EMM_M WORK_LE EMM_PWR EMM_LKO FLSH_0 FLSH_ FLSH_ FLSH_ FLSH_ FLSH_ FLSH_6 FLSH_ PWR_HOL 6 FLSH_LE HU_RST 6 Standby_LE 6 FLSH_RY FLSH_S0 FLSH_S EMM_M WORK_LE 6 EMM_PWR EMM_LKO OPTION NN NO YES EMM YES NO RK- U000 RK_PX-SE SMM0 GPIO_/SMM0_0/URT_TX_u GPIO_/SMM0_/URT_RX_u GPIO_/SMM0_/JTG_TK_u GPIO_/SMM0_/JTG_TMS_u GPIO_0/SMM0_LKO_d GPIO_/SMM0_M_u GPIO_/SMM0_ET_u GPIO_/SMM0_WP_d GPIO_6/SMM0_PWR_d L K K6 M H0 W L N SMM_0/URT_TX 6 SMM_/URT_RX 6 SMM_ 6 SMM_ 6 SMM_LK 6 SMM_M 6 SMM_ET 6 GPIO d 6 SMM_PWR 6 RK Flash/S ontroler reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

8 RK-,6 pf Y XIN M-0ppm RY- GN GN XOUT R 0 nf R R00 pf V_ N0 H N N U000 RK_PX-SE NPOR_d TEST_d XINM XOUTM NPOR rystal US OTG_P OTG_M OTG_I OTG_VUS HOST_P HOST_M US_EXTR US_V US_V W6 Y6 R U W Y V T T0 R R% R00 V_ V_IO OTG_P 6 OTG_M 6 OTG_I 6 OTG_ET 6 HOST_P 6 HOST_M 6 OTG_P OTG_M TP TP V_IO F LMPGSN L00 0.uF N N N /GPLL_V /PLL_V PLL_VIO PLL Power SR/EFUSE SR_V IN0 IN IN EFUSE P P U0 V0 R0 V_IO IN0 6 REOVER 6 IN 6 TP TP REOVER R 0K% R00 V_IO Note:ll the capacitor should be place close to the power pin of RK V_ V_IO 0.uF 6 uf 0.uF 0.uF lose to RK RK MIS&US reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

9 RK- U000 RK_PX-SE L/LVS/MIPI/GM/EM GPIO_0/L_LK/E_SLK/GM_RXV_d GPIO_/L_HSYN/E_SLE/GM_TXLK_d GPIO_/L_VSYN/E_SOE/GM_RS_d GPIO_/L_EN/E_GLK/GM_RXLK_d L_0/LVS_TX0P/E_SO0/MIPI_0P L_/LVS_TX0N/E_SO/MIPI_0N L_/LVS_TXP/E_SO/MIPI_P L_/LVS_TXN/E_SO/MIPI_N L_/LVS_TXP/E_SO/MIPI_P L_/LVS_TXN/E_SO/MIPI_N L_6/LVS_TXP/E_SO6/MIPI_P L_/LVS_TXN/E_SO/MIPI_N L_/LVS_LKP/E_SE0/MIPI_LKP L_/LVS_LKN/E_SE/MIPI_LKN GPIO_/L_0/E_SE/GM_MIO_d GPIO_/L_/E_SE/GM_TXEN_d GPIO_6/L_/E_SE/GM_LK_d GPIO_/L_/E_SE/GM_RXER_d GPIO_0/L_/E_VOM/GM_RX_d GPIO_/L_/E_GOE/GM_RX0_d GPIO_/L_6/E_GSP/GM_TX_d GPIO_/L_/E_GPWR0/GM_TX0_d GPIO_/L_/E_GRL/I_S/GM_RX_d GPIO_/L_/E_SSHR/I_SL/GM_RX_d GPIO_6/L_0/E_ORER0/GPS_SIGN/GM_TX_d GPIO_/L_/E_ORER/GPS_MG/GM_TX_d GPIO_0/L_/E_GPWR/GPS_LK/GM_OL_d GPIO_/L_/E_GPWR/GM_M_d LVS/MIPI_EXTR LVS/MIPI_V LVS/MIPI_V U T V U Y W Y W Y0 W0 W Y Y W U U U T T R P P T P P P P6 T V R R L_HSYN/M_TXLK_ L_/M_TXEN_ L_6/M_TX_ L_/M_TX0_ L_0/M_TX_ L_/M_TX_ V L_LK/M_RXV 6 L_VSYN/M_RS 6 L_EN/M_RXLK 6 L_0/LVS_TX0P/MIPI_0P 6 L_/LVS_TX0N/MIPI_0N 6 L_/LVS_TXP/MIPI_P 6 L_/LVS_TXN/MIPI_N 6 L_/LVS_TXP/MIPI_P 6 L_/LVS_TXN/MIPI_N 6 L_6/LVS_TXP/MIPI_P 6 L_/LVS_TXN/MIPI_N 6 L_/LVS_LKP/MIPI_LKP 6 L_/LVS_LKN/MIPI_LKN 6 R K% R00 R0 0R R00 R N/0R R00 L_0/M_MIO 6 L_/M_LK 6 L_/M_RXER 6 L_/M_RX 6 L_/M_RX0 6 L_/M_RX 6 L_/M_RX 6 L_/PHY_RST 6 L_/M_M 6 V_IO V_ L_/M_TX0_ L_6/M_TX_ L_0/M_TX_ L_/M_TX_ V_ R R R R6 L_HSYN/M_TXLK_ R L_/M_TXEN_ L_/M_TX0 L_6/M_TX L_0/M_TX L_/M_TX L_HSYN/M_TXLK L_/M_TXEN R 0 0.uF lose to RK L_/M_TX0 6 L_6/M_TX 6 L_0/M_TX 6 L_/M_TX 6 L_HSYN/M_TXLK 6 L_/M_TXEN 6 V R R00 R R00 R R00 R R00 R R00 R R00 0.uF L_/M_TX0 L_6/M_TX L_0/M_TX L_/M_TX L_HSYN/M_TXLK L_/M_TXEN N V_ RKISPLY/GM ontroler reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

10 RK- U000 RK_PX-SE IF/TS IF_0/TS_0_d IF_/TS d IF_/TS d IF_/TS d IF_/TS d IF_/TS d IF_6/TS_6_d IF_/TS d IF_VSYN/TS_SYN_d IF_HREF/TS_FIL_d IF_LKI/TS_VLI_d IF_LKO/TS_LKO_d GPIO_/IF_PN0/TEST_LKO_d IF_PN/GPIO u U V W V6 T R R T6 U V U6 V K Y0 IF_LKI IF_LKO IF_0 6 IF_ 6 IF_ 6 IF_ 6 IF_ 6 IF_ 6 IF_6 6 IF_ 6 IF_VSYN 6 IF_HREF 6 IF_LKI 6 IF_PN0 6 IF_PN 6 R R R00 pf IF_LKOUT 6 RK-H U000H HMI TX HMI_TXP HMI_TXN HMI_TXP HMI_TXN HMI_TX0P HMI_TX0N HMI_TXP HMI_TXN Y W Y W Y W Y W TX_+_ TX_-_ TX_+_ TX_-_ TX_0+_ TX_0-_ TX_+_ TX_-_ TX_+_ 6 TX_-_ 6 TX_+_ 6 TX_-_ 6 TX_0+_ 6 TX_0-_ 6 TX_+_ 6 TX_-_ 6 V_HMI V_HMI GPIO0_/HMI_E_u GPIO0_/HMI_HP_d GPIO0_6/HMI_SL/I_SL_u GPIO0_/HMI_S/I_S_u HMI_EXTR HMI_VV HMI_V E 0 F W V V HMI_E HMI_HP HMI_SK HMI_S R K% R00 V_HMI V_HMI HMI_E 6 HMI_HP 6 HMI_SK 6 HMI_S 6 0.uF.uF uF 6.uF 060 RK_PX-SE RK MER/HMI ontroler reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 0 6

11 RK-F U000F RK_PX-SE odec OE_OL OE_OR OE_OMS OE_OM OE_HPET OE_IL OE_IR OE_OL OE_OR OE_OMS OE_OM HP_ET LINE_LIN LINE_RIN MIIS OE_OL 6 OE_OR 6 OE_OMS 6 OE_OM 6 HP_ET 6 MIIN-N 6 MIIN-P 6 LINE_LIN 6 LINE_RIN 6 OE_MIIS OE_MIL OE_MIR E E6 MIIN-N MIIN-P.uF 060 OE_VM OE_V OE_ V_OE 0.uF 060.uF 060 RK-G U000G V V_IOUTP V_IOUTN V_IREF V U U V_OUTP Note: lose to PIN U R K% R00 GN GN V_OUTP 6 V_V T V_OE V_GN T RK_PX-SE V_OE.uF uF Note: lose to PIN T RK OE/V reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

12 RK-I U000I RK_PX-SE GPIO/Smartard/URT0 GPIO/URT/SPI GPIO/IS/SPI GPIO/IS/SMM GPIO/I0 GPIO/SPIF/IR GPIO_/R_RST/URT0_TX_d GPIO_/R_LK/URT0_RX_d GPIO0_/R_IO/URT0_RTSN_u GPIO_/R_ET/URT0_TSN_d GPIO_/URT_TX/SPI_TX_u GPIO_/URT_RX/SPI_RX_u GPIO_/URT_RTSN/SPI_SN0_u GPIO_0/URT_TSN/SPI_LK_u GPIO_/SPI_SN_u GPIO0_6/IS_SI/SPI_SN0_u GPIO0_/IS_SO/SPI_RX_u GPIO0_/IS_LRK_RX/SPI_TX_u GPIO0_/IS_LRK_TX_u GPIO0_/IS_SLK/SPI_LK_u GPIO0_0/IS_MLK_u GPIO_/IS_SI/SMM d GPIO_/IS_SO/SMM d GPIO_/IS_LRK_RX/SMM u GPIO_/IS_SLK/SMM_0/PMI_SLEEP_d GPIO_0/IS_MLK/SMM_LKO/XIN_K_d GPIO_/IS_LRK_TX_d GPIO0_/I_S/SMM_M_u GPIO0_/I_SL_u GPIO0_6/SMM_PWR_d GPIO0_/I0_S_u GPIO0_0/I0_SL_u GPIO u GPIO_6_u GPIO d GPIO d GPIO_/RIVE_VUS/PMI_SLEEP_d GPIO0_0/URT_RTSN/PMI_SLEEP_u GPIO0_/URT_TSN_u GPIO_/SPIF_u GPIO_/IR_u T Y P W0 H H G G K L0 J H6 K0 H G G6 E E E0 G F 0 E 0 G0 F U Y W URT0_TX 6 URT0_RX 6 URT0_RTS 6 URT0_TS 6 T_HOST_WKE/SPI_TX/URT_TX 6 T_WKE/SPI_RX/URT_RX 6 WIFI_REG_ON/SPI_SN0/URT_RTS 6 PHY_PME/SPI_LK/URT_TS 6 SPI_SN/GPIO u 6 SPI_SN0/T_PM_OUT/IS_SI 6 SPI_RX/T_PM_IN/IS_SO 6 SPI_TX/T_PM_SYN/IS_LRK_RX 6 IS_LRK_TX 6 SPI_LK/T_PM_LK/IS_SLK 6 IS_MLK 6 SMM_/IS_SI 6 SMM_/IS_SO 6 SMM_/IS_LRK_RX 6 SMM_0/IS_SLK 6 SMM_LK/IS_MLK 6 T_RST/IS_LRK_TX 6 SMM_M/I_S 6 WIFI_HOST_WKE/I_SL 6 OTG_RV 6 I0_S,6 I0_SL,6 PWR_KEY 6 PMI_INT MUTE_TL 6 HOST_RV 6 PMI_SLEEP PHY_INT 6 PU_ET 6 SPIF_TX 6 IR_RX 6 PWM GPIO0_/PWM0_d GPIO0_/PWM_d GPIO0_/PWM_u U T V PWM0/GPIO0 d 6 UX_ET 6 RT_INT_ 6 RK GPIO reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

13 U R-6X6bit U6 R-6X6bit V_R VREF_R R0 R_RST 0R% R6 0R% R_ R_ R_0 R_ R_ R_ R_ R_ R_ R_ R_ R_ R_ R_0 R_ R_6 R_KE0 R_S0N R_OT0 R_RSN R_SN R_WEN R_OT R_KE0 R_SN R_ E F F F H H G H K L K J K L G K K N N R R E F H H H M T L J J L L M Q0 Q Q Q Q Q Q6 Q Q Q Q0 Q Q Q Q Q KE S# OT RS# S# WE# V V V V V V V V V VQ VQ VQ VQ VQ VQ VQ VQ VQ VREFQ VREF # ZQ N N N N N 0 6 0/P /# 0 K K# LM UM LQS LQS# UQS UQS# Q Q Q Q Q Q Q Q Q N P P N P P R R T R L R N T T M N M J K E F G E E F G G E G J J M M P P T T R_0 R_ R_ R_ R_ R_ R_6 R_ R_ R_ R_0 R_ R_ R_ R_ R_0 R_ R_ R_LK R_LKN R_M R_M0 R_QSP R_QSN R_QS0P R_QS0N V_R VREF_R R R_RST 0R% R 0R% R_ R_ R_ R_ R_ R_ R_6 R_0 R_ R_ R_0 R_ R_ R_6 R_ R_ R_KE0 R_S0N R_OT0 R_RSN R_SN R_WEN E F F F H H G H K L K J K L G K K N N R R E F H H H M T L R_OT J R_KE0 J R_SN L L R_ M Q0 Q Q Q Q Q Q6 Q Q Q Q0 Q Q Q Q Q KE S# OT RS# S# WE# V V V V V V V V V VQ VQ VQ VQ VQ VQ VQ VQ VQ VREFQ VREF # ZQ N N N N N 0 6 0/P /# 0 K K# LM UM LQS LQS# UQS UQS# Q Q Q Q Q Q Q Q Q N P P N P P R R T R L R N T T M N M J K E F G E E F G G E G J J M M P P T T R_0 R_ R_ R_ R_ R_ R_6 R_ R_ R_ R_0 R_ R_ R_ R_ R_0 R_ R_ R_LK R_LKN R_M R_M R_QSP R_QSN R_QSP R_QSN R_0 R_ R_ R_ R_ R_ R_6 R_ R_M0 R_QS0P R_QS0N R_ R_ R_0 R_ R_ R_ R_ R_ R_M R_QSP R_QSN R_6 R_ R_ R_ R_0 R_ R_ R_ R_M R_QSP R_QSN R_ R_ R_6 R_ R_ R_ R_0 R_ R_M R_0 R_ R_ R_ R_ R_ R_6 R_ R_ R_ R_0 R_ R_ R_ R_ R_ R_0 R_ R_ R_S0N R_SN R_OT0 R_OT R_LK R_LKN R_KE0 R_RSN R_SN R_WEN R_RST R_QSP R_QSN R_LK R N R00 R_LKN V_R V_R 66 uf 0.uF 0.uF 0.uF 0.uF 6 0.uF 0.uF 0.uF 0.uF 00 0.uF V_R 60 0uF uF R0 0K% R00 R 0K% R00 VREF_R 0.uF 0 nf 0 nf 0 nf nf V_R V_R uf 0.uF 0 0.uF 0.uF 0.uF 0.uF 0.uF 0.uF 0 0.uF 0 0.uF 6 0uF 060 RM-R reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

14 emm U00 emm INN_6_0 V_IO V_IO FLSH_RY FLSH_LE FLSH_S0 FLSH_S FLSH_0 FLSH_ FLSH_ FLSH_ FLSH_ FLSH_ FLSH_6 FLSH_ EMM_PWR EMM_M EMM_LKO FLSH_0 FLSH_ FLSH_ FLSH_ FLSH_ FLSH_ FLSH_6 FLSH_ EMM_M EMM_LKOUT EMM_PWR 00 0.uF H H H J J J J J6 W W6 U K R M M0 N0 U0 T0 T T T T T T6 T M LK RST_n Vi RLK VSF VSF VSF VSF VQ VQ VQ VQ VQ V V V V Q Q Q Q Q Y W K6 U T0 N M6 U R0 P M H6 T 6 Y Y K 0 uf EMM_LKO EMM_LKOUT FLSH_0 FLSH_ FLSH_ FLSH_ FLSH_ FLSH_ FLSH_6 FLSH_ EMM_M 0 0uF 060 R0 R00 R0 R0 R0 R0 R0 R06 R0 R0 0 0.uF 0 0.uF R R00 0K R00 0K R00 0K R00 0K R00 0K R00 0K R00 0K R00 0K R00 0K R uF V_IO 06 0.uF 0 0.uF Note: Reserve a P. TP TP0 EMM_LKO,, I0_SL I0_S I0_SL I0_S R 0R R00 % R6 0R R00 % I_SL I_S Temperature Sensor U I_SL SL S I_S V_IO GN V TMP0 00nF XR 6.V lose to SO Nand Flash/eMM reate ate: Monday, June 0, 0 Modify ate: Wednesday, January, 0 REV: 0 6

15 V_SYS RK6 V_RM V_LOG uf/0v 没有用 OTG 功能可以不加 V0_HMI V_RT.uF/0V 060 0uF 060 XR 6.V 0 0uF 060 XR 6.V uf 00 XR 6.V uf 00 XR 6.V SW 0 6 R 0K R00 SS SO_ pf/0v/0g.uf 6.V XR 060 L 0.uH/0.0ohm/ IN_00 uf/0v 00nF/6V U IN XR uf/0v XR 00 R R XR pf/0v/0g.uf 6.V XR 060 L 0.uH/0.0ohm/ IN_00 uf/0v 00nF/6V XR GN 0R % R00 XR 0K % R00 N/0.uF XR uf 6.V XR 00 SHN TT- SOT F/OUT V_SYS V_IO V_SYS VOOST V_RK6IN 6 P U RK6- QFN0_R00XR00X0R0_T 6 V UK 0.-.V SW.V SW.0V.V VF.V V_SYS V UK 0.-.V SW.V SW.0V.V VF.V V OUT:0.-.V V6 OUT:0.-.V MIU US HREG/OTG V_RT VRT VREF XOUT V_HMI.V Y.6KHz RY_6R0XR0XR0.6 RT XIN 6 0 PWRON N VREF REFGN XOUT XIN PWRON 6.uF 060 RK6 POWER Logic UK 0.-.V STEP=00mV UK F=0.V UK OOST PowerPath Guage RK_V_IN V SW VF V SW 6 0uF/0V XR 0V 060 V_SYS V_SYS VF V_IF 00m VLO V_ 00m VLO V_ 00m VLO low noise V_LN 00m VLO V_OE 00m VLO V_IF 0 00m VLO6 SW SYS 6 T TS/GPIO SNSP SNSN EGN SLEEP 0 SL S INT LKK 0 nrespwron SW SW SW SNSP SNSN PMI_SLEEP I0_SL I0_S PMI_INT LK_K R0 0K R00 R.uF 6.V XR 060 L 0.uH/0.0ohm/ IN_00.uF pF/0V/0G R 0R R00 % Note: SNSP&SNSN 按照差分要求走线 ; R0 靠近 R0 放置 ; 没用电池时, 此部分可以省 R060 N/0R % U VIN EN VF 6.V XR uf 0V XR.uF 6.V XR 060.uF 6.V XR 060 0uF/0VXR 060 V_IO V_R R0.K R00 % R.K % R00 R 0K % R00 R 0K R00 R 0K % R00 SW VST GN RT6HGJ6F/TPS600 R 6 0K/% R nF V_SYS uf 6.V XR 00 uf 6.V XR 00 L0 0.uH/0.0ohm/ R6 R efault RL IN_00 K/% 0K/% R0 R00 V_R RM pf/0v/0g R00 R00 0K K.V R 0K 0K.V RL.uF 6.V XR 060 L 0.uH/0.0ohm/ IN_00.uF 6.V XR 060.uF 6.V XR 060 T_TS uf XR 6.V 00 R 0.0R/% R00 00nF/6V XR R N/0R % RT_LKOUT R00 L.uH/. IN-X V_IO V_ VTT R 00K/% R00 R K/% R00 R 0uF 060 XR 6.V R00 0R % 6 6pF V_HMI V_RK6IN V_IO V_V 6 uf/0v 060 N SO_ 6 00nF T_TS R R P POWER WIRE WITH INITE No indicate V_L T+ T+ R060 0R % R060 N/0R % 00nF/6V XR T- PMU TIMER default hannel (ms) ON/OFF solt: ON solt: ON solt: ON VLO VLO VLO VLO VLO VLO6 above 0 miles above 0 miles above 0 miles above miles Under needs TP TP TP solt: ON 0.V X OFF solt: ON solt: ON X OFF solt: ON solt: ON ms ON V_V VTT R M % R00 reate ate: Monday, June 0, 0 default voltage RK6.V V_RM.V V_LOG.V V_IO/VIO_WL/V_S FLSH/V_L R.V RL.V V_R LPR.V.V V_OE.V V.V V_/V_HMI.V V_VS.V V_.V V_TP_/V_HMI O I0_S I0_SL PMI_SLEEP PMI_INT RT_LKOUT PWRON V_RK6IN Power on circuit Power-RK6 Q SOT_ WPM-/TR uf/0v XR Modify ate: Wednesday, January, 0 REV: 0 6

16 onnector RK_V_IN VOOST VOOST V0_HMI V_LN V_LN V_SYS MIIS V_OE V_OE V_LN V_L V_IO V_ V_ V_IF V_IF V_IF V_IF V_SYS V_SYS V_SYS V_SYS V_SYS V_SYS V_SYS V_SYS V_IO V_IO RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN RK_V_IN L_/M_TXEN L_HSYN/M_TXLK L_6/M_TX L_/M_TX0 L_/M_TX L_0/M_TX L_EN/M_RXLK L_LK/M_RXV L_/M_RX L_/M_RX L_/M_RX0 L_/M_RX L_0/M_MIO L_/M_LK L_/M_RXER L_/PHY_RST L_/M_M L_VSYN/M_RS IF_VSYN 0 IF_HREF 0 IF_0 0 IF_ 0 IF_ 0 IF_ 0 IF_ 0 IF_ 0 IR_RX IF_6 0 IF_ 0 GPIO d OTG_I URT0_RTS URT0_RX WIFI_HOST_WKE/I_SL T_RST/IS_LRK_TX IS_MLK IS_LRK_TX SPI_SN0/T_PM_OUT/IS_SI SPI_RX/T_PM_IN/IS_SO SPI_TX/T_PM_SYN/IS_LRK_RX SPI_LK/T_PM_LK/IS_SLK SPI_SN/GPIO u URT0_TS URT0_TX OTG_P OTG_M IF_LKOUT 0 IF_PN0 0 IF_PN 0 IF_LKI 0 HP_ET OE_OMS OE_OM MIIN-N MIIN-P LINE_LIN LINE_RIN OE_OR OE_OL PWM0/GPIO0 d UX_ET SMM_/IS_SI SMM_/IS_SO SMM_/IS_LRK_RX SMM_0/IS_SLK IN IN0 SMM_LK/IS_MLK SMM_M/I_S REOVER HMI_SK 0 HMI_S 0 SMM_PWR Standby_LE PWR_HOL HU_RST WORK_LE, SMM_0/URT_TX SMM_ SMM_/URT_RX SMM_ SMM_LK SMM_M SMM_ET RT_LKOUT HOST_RV PWRON I0_SL, I0_S, OTG_RV PHY_INT PU_ET HMI_E 0 HMI_HP 0 MUTE_TL OTG_ET SPIF_TX T_WKE/SPI_RX/URT_RX WIFI_REG_ON/SPI_SN0/URT_RTS T_HOST_WKE/SPI_TX/URT_TX PHY_PME/SPI_LK/URT_TS L_0/LVS_TX0P/MIPI_0P L_/LVS_TX0N/MIPI_0N L_/LVS_TXP/MIPI_P L_/LVS_TXN/MIPI_N L_/LVS_TXP/MIPI_P L_/LVS_TXN/MIPI_N L_6/LVS_TXP/MIPI_P L_/LVS_TXN/MIPI_N L_/LVS_LKP/MIPI_LKP L_/LVS_LKN/MIPI_LKN HOST_M HOST_P V_OUTP TX_+_ 0 TX_-_ 0 TX_+_ 0 TX_-_ 0 TX_0+_ 0 TX_0-_ 0 TX_+_ 0 TX_-_ 0 RT_INT_ PWR_KEY REV: reate ate: Modify ate: onnector onnector onnector onnector Monday, June 0, 0 Wednesday, January, REV: 0 reate ate: Modify ate: onnector onnector onnector onnector Monday, June 0, 0 Wednesday, January, REV: 0 reate ate: Modify ate: onnector onnector onnector onnector Monday, June 0, 0 Wednesday, January, MSK Z Z MSK Z Z TP6 MSK0 J Z6 Z Z Z MSK Radiator H MSK MSK Z Z MSK Z Z MSK J Firefly U0 T-HIP_LOGO Z Z MSK MSK TP

Version ate uthor hange Note pproved 008 HWQ First edictor Modify note FR_RK88 reate ate: Modify ate: Saturday, October, 0 Thursday, ecember, 0 8

Version ate uthor hange Note pproved 008 HWQ First edictor Modify note FR_RK88 reate ate: Modify ate: Saturday, October, 0 Thursday, ecember, 0 8 ontent Indexing 0.Index 0.Modify note 0.lock iagram 0.Power Tree 0.System Power 0.RK88 US/HSI ontroler 07.RK88 RM ontroler 08.RM-LPR(P) 0.eMM/TF ard.rk88 GPIO/POWER.HMI interface.rk88 L/IS ontroler.rk88

More information

rk3288

rk3288 V_SYS G G G G J V M P I GN HUM0--S MIRO_PINNIUJIO F R00 E E /ESV /ESV E00N E00N R R00 F /SW0--00 R R00 OTG_M OTG_P OTG_ET OTG_M OTG_P OTG_M OTG_P OTG_ET M + 0uF 00 0 00 R0 /0K OTG_ET R0 /K / LE_TL Working

More information

rock64_rk3328_demo1_v20_

rock64_rk3328_demo1_v20_ ontent Indexing 0. Index 0. hange List 0. Pi- & Pi-+ 0. lock iagram 0. Power tree-rk80-06. System Power-PMI RK80-07. RK8 Power 08. RK8 OS/PLL/OTP/SR 09. RK8 R ontroler 0. RK8 emm ontroler/tf. RK8 US PHY/US

More information

RK3036_KYLIN_0119

RK3036_KYLIN_0119 ONTENT INEXING 0. INEX 0. MOIFY NOTE 0. LOK IGRM 0.Power Tree 0.SYSTEM POWER 0.PU(RK0) 0.R 0.EMM /TF card 0.US HOST 0.HMI OUT.udio odec-l.ethernet.p.eug.gpio LYERS P STK TOP GN Prepreg *.0MIL(0.0mm) djust

More information

ROC-RK3328-CC-V1.0-A

ROC-RK3328-CC-V1.0-A ontent Indexing PF Number of pages 0.Index 0.hange List 0.lock iagram 0.Power tree-rk0-0.system Power-PMI RK0-0.RK Power 0.RK OS/PLL/OTP/SR 0.RK R ontroler 0.RK emm ontroler/tf/spi 0.RK US PHY/US PHY.RK

More information

radxa_ver2

radxa_ver2 ONTENT INEXING P POWER WIRE WITH INITE 0. INEX 0.lock iagram 0.System Power 0.US OTG/HU 0.R 0.Flash/TF card 0.GPIO 08. HMI 09.LVS 0.amera/TP.RK000_S.RMII_00M No indicate above 80 miles above 0 miles above

More information

q918_0422

q918_0422 M P POWER WIRE WITH INITE above miles above miles above miles above miles No indicate Under needs (,) T_HOST_WKE WIFI_ WIFI_ WIFI_ WIFI_ WIFI_LK WIFI_M WIFI_HOST_WKE WIFI_REG_ON U RK/RK SIO_ SIO_ SIO_

More information

LLW2273C(158)-7寸_V4

LLW2273C(158)-7寸_V4 MU REVISION REOR LTR EO NO: PPROVE: TE: L_HSYN L_ L_ L_ L_ Q Q Q Q Q Q0 Q Q QS QM KN K R_KE R_0 R_ R_ R_ R_ R_ R_ R_ R_ R_ R_REF R_WE Voltage & Max urrent & Min Linewidth Netlist V urrent > 00m > 00m Linewidth

More information

hange List Version ate uthor hange Note pproved V harlie First edition ate: esigned by: RK99_ROK960 Sunday, November, 07 V.0 <designer> Sheet:

hange List Version ate uthor hange Note pproved V harlie First edition ate: esigned by: RK99_ROK960 Sunday, November, 07 V.0 <designer> Sheet: RK99_Rock960_V.0 ONTENT INEXING 0.Index 0.hange List 0.Power Tree 0.I Map 0.Power omain Map 06.RK99 Power 07.RK99 PMU ontroler 08.RK99 R ontroler 09.RK99 Flash&SMM ontroler 0.RK99 US/USI ontroler.rk99

More information

WiFi 模组 (SIO ) U L-W0MS.V 0uF/0V R 0 0uF/0V WiFi_V 0.uF S0_LK R S0_ S0_ S0_M S0_0 S0_ T T M LK T0 T WKEUP_OUT WKEUP_IN NT 0 PN POWER Thermal P WKEUP_O

WiFi 模组 (SIO ) U L-W0MS.V 0uF/0V R 0 0uF/0V WiFi_V 0.uF S0_LK R S0_ S0_ S0_M S0_0 S0_ T T M LK T0 T WKEUP_OUT WKEUP_IN NT 0 PN POWER Thermal P WKEUP_O VIO URT0_IN URT0_OUT R0.K R.K 0.uF 0.uF IS_ IS_ IS_ IS_ IS_HS IS_VS IS_PLK IS_SL IS_S SPI0_LK SPI0_TX SPI0_S0 SPI0_RX VIO VK U IS_ IS_ IS_ IS_ IS_HSY IS_VSY IS_PLK IS_SL IS_S 0 SSI0_LK SSI0_TX SSI0_S0

More information

tiny6410sdk

tiny6410sdk oreoard S RST V_V [] V [] V [] V [] V [] V [] V [] V [] V [] V [] VEN [] VSYN [] VLK [] VUS [] OTGI [] OTGM [] OTGP [,] IN [,] IN [] IN0 [] WIFI_IO [] S_LK [] S_n [] S_T0 [] S_T [] OUT0 [] XEINT0 [] XEINT

More information

Power Tree : Power On Sequence : WPM0 <PMOS> Max V V.US V Power.HMI V Power.udio mp V Power O_V - <UK> Max VK ore Voltage V_MU SY0 PWR_EN - <UK> SY0 M

Power Tree : Power On Sequence : WPM0 <PMOS> Max V V.US V Power.HMI V Power.udio mp V Power O_V - <UK> Max VK ore Voltage V_MU SY0 PWR_EN - <UK> SY0 M Khadas.com Something a little different Shenzhen Wesion Technology o., Ltd. Power tree & timings ate: Tuesday, November, 0 V. esigned by: Gouwa 0 Power Tree : Power On Sequence : WPM0 Max V V.US

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

RK I MP Port Pin name omain us name Pull-up voltage Slave evice Slave ddr (MS its) Note Slave us apability I0 GPIO_/SPI_RX/I0_S GPIO_0/SPI_TX/I0_SL PM

RK I MP Port Pin name omain us name Pull-up voltage Slave evice Slave ddr (MS its) Note Slave us apability I0 GPIO_/SPI_RX/I0_S GPIO_0/SPI_TX/I0_SL PM Modify note Version ate uthor hange Note pproved V00 00 hwq First edictor Modify note Firefly-RK reate ate: Monday, March, 0 Modify ate: Tuesday, January 0, 0.0 0 RK I MP Port Pin name omain us name Pull-up

More information

8R21_E15_

8R21_E15_ www.ma.com Modify - G G- R R- P PR- PR- Y PR- PR P Y- Y- PR Y- Y 0 SV_ 0 V- 0 V- 0 SV_Y 0 V- 0 V 0 V- 0 V- 0 V 0 V VS- VS TMS_V TMS_V,,,,_V _V,,,,,,,,0,, U-E VIN_0P 0 VIN_0N VIN_P VIN_N VIN_P VIN_N VIN_P

More information

tsumv39lu for mtc v _?

tsumv39lu for mtc v _? MP LOUT# ROUT# E 0uF/V R LOUT_SP E 0uF/V R ROUT_SP /0 /0 LOUT LOUT- ROUT- ROUT N0 P/.MM MP-UOUTL0 MP-UOUTR0 MP-UOUTL0 MP-UOUTR0 R 0 0 R 0 L R R0 /K E 00uF/V V L 0.uF LOUT# ROUT# MUTE R E 0.uF 0uF/V 0.uF

More information

untitled

untitled URT(ISP) LEs s UZZER, PWM_ URT(FULL) L(*) N US JTG N US US evice LPX RESET EEPROM 0M NET(S00) K SRM USER TEST RE M * M M NorFlash 0Pin User Extend Port M NandFlash F R(Ture IE Mode) POWER YL_LPX_SH_LOK

More information

bmc171_v1

bmc171_v1 使用.0 寸 PU 屏 bit 的接法, 应去掉 L 和 L, 这两个口做为 GPIO 用于 US-OTG 的检测和控制注意 :.0 寸 PU 屏和. 寸 RG 屏 bit 接法有区别 0V VOM=.V L " -V V LHSY LE LLK LVSY L-EN PWM-L PWM-L L L L0 L L L L L L L0 L L L L L L L L L L L0 L L0 L L L

More information

rockpro64_v

rockpro64_v RK_MI_REF_V. ONTENT INEXING 0.Index 0.hange List 0.lock iagram 0.Power Tree 0.I Map 0.Power omain Map 0.IR Receiver 0.RK Power.RK PMU ontroler.rk R ontroler.rk Flash&SMM ontroler.rk US/USI ontroler.rk

More information

E1D0-8N0837M

E1D0-8N0837M VS-OUT VS VG OMPONENT V V S RG/HV Y/Pb/Pr VS VS Y- LVS it Full H PNEL RF TUNER T HP&HP TV_VS Key-Ic NT R M R RM X HMI HMI PS TMS/-Ic HMI POWER US US-/- US TV UIO UIO GPIO YUV&VG V V -OUT UIO IE & PLL URT

More information

37e29_ _02

37e29_ _02 SPI FLSH I SPI_S# I_M0_SL SPI_S# I_M0_SL SPI_SK I_M0_S SPI_SK I_M0_S SPI_I I_M_S SPI_I I_M_S SPI_O I_M_SL SPI_O I_M_SL R R_Q[:0] R_Q[:0] R_[:0] R_[:0] PNEL R_[:0] TEN R_[:0] TEN TEP R_QS[:0] TEP TEN R_QS[:0]

More information

v3s_cdr_std_v1_1_

v3s_cdr_std_v1_1_ REVISION HISTORY Schematics Index: Revision escription ate rawn hecked P0: REVISION HISTORY P0: LOK P0: POWER TREE P0: GPIO SSIGNMENT P0: PU P06: POWER P07: MER-MIPI P08: RG L.7 P09: NOR NNFlash/TF ard

More information

rd4780_grus_debug_v1.0_end

rd4780_grus_debug_v1.0_end J Ethernet on J US ON S S S S S S S S R_N WE_N ETHERNET_INT ETHERNET_S_N ETHERNET_RST ETHERNET_M RST_N TO_TX TRST_N TK TMS TI_RX US_PWEN P M LKK T-V.V WiFi_IO.V T-V WiFi_IO.V J WIFI&GPS.V T_WKE GPS_OS_EN

More information

Protel Schematic

Protel Schematic 0_S0(addr_data) 0_S0(addr_data) 0_ 0_ 0_S0(IO ) 0_S0(IO ) 0_Power_JTG 0_Power_JTG 0_S0(IO ) 0_S0(IO ) 0_S0(Power) 0_S0(Power) Mini0 Ver: 0_Memory 0_Memory esigned by FriendlyRM in Guangzhou ll rights reserved

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

rockpro64_v

rockpro64_v ROKPro_V. ONTENT INEXING 0.Index 0.hange List 0.lock iagram 0.Power Tree 0.I Map 0.Power omain Map 0.IR Receiver 0.RK Power.RK PMU ontroler.rk R ontroler.rk Flash&SMM ontroler.rk US/USI ontroler.rk SR/Key.RK

More information

Microsoft Word - 32PFL5520_T3-32PFL5525_T3-42PFL5520_T3-42PFL5525_T3-46PFL5520_T3-46PFL5525_T3.doc

Microsoft Word - 32PFL5520_T3-32PFL5525_T3-42PFL5520_T3-42PFL5525_T3-46PFL5520_T3-46PFL5525_T3.doc . PFL0/T PFL/T GP0W00S G00F0ST 0G 0D S F0 FUSE- N0 SOKET T.0AH/0V R0 0K /W 0 I0 AP00DG- 0NF 0 0 D D R0 0NF D D 0K /W MH MH R0 M % /W- R0 0K- R0 0K /W 0 0V YP SHARP"&PHS" 0G 00 PHS " 0G 00 T P V ( Top Vicory

More information

9g10

9g10 ortez Lite R- oard esign TENT HEMTI Name. ontents, Revision History. Top Level. Inputs. IP Inputs. FLI. HMI. Frame tore. udio HEET. Power REVII HITORY ate -- uthor INGGUOMIN Ver omments raft Release. P#

More information

Microsoft Word - L20AV6-A0维修手册.DOC

Microsoft Word - L20AV6-A0维修手册.DOC L0V-0 电路原理图 V V ROMOEn ROMWEn RESETn [..] R 00K UWPn 0 R 00K 0 U E OE WE RP WP YTE 0 0 Flash_M ROM VPP V 0 0 0 FEn 0 0 U V [0..] XP JMP V R 00K V SL S U SL S N0 N N V WP V NVRM IEn V R.K ROM EMULTOR PITH

More information

ci20_jz4780_v1.0

ci20_jz4780_v1.0 R The trace R_VREF is mils wide at least. R Power ecoupling apacitors MEM Power ecoupling apacitors Place near The Power Pins as lose as Possible Layout 时请尽量靠近 R 布局, 并保证 R 每个电源管脚放置一个去耦电容. 请尽量靠近 PU 布局 PU-

More information

8tag32

8tag32 ortez ite R- oard esign www.ma.com TENTS REVISI ISTORY SEMTI Name SEET ate uthor Ver omments. ontents, Revision istory -- INGGUOMIN raft Release. P# -. Top evel. Inputs. IP Inputs. FI. MI. Frame Store.

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

aio_3399j_v10_ _a

aio_3399j_v10_ _a Modify note Version ate uthor hange Note pproved V00 000 LZZ First edictor Modify note IO_J reate ate: Monday, November, 0 Modify ate: Wednesday, November 0, 0 REV: V0 RK I MP Port Pin name omain us name

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

6300A P-CARE

6300A P-CARE 远程高清 P-RE 硬件方案框图 SPI FLSH WQV(M) SRM ( M*bit) WGJH( M) URT & FTORY RESET 主时钟 MHZ( 无源 ) 复位 I US SNOV Step Motor/IR rive circuit SEP00 LQFP US0 WIFI Module MT0 HUMITURE SSOR HEER I I IIS PGE INEX PGE 00

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

I 宋 出 认 V 司 秋 通 始 司 福 用 今 给 研 除 用 墓 本 发 共 柜 又 阙 杂 既 * *" * " 利 牙 激 I * 为 无 温 乃 炉 M S H I c c *c 传 统 国 古 代 建 筑 的 砺 灰 及 其 基 本 性 质 a 开 始 用 牡 壳 煅 烧 石 灰 南

I 宋 出 认 V 司 秋 通 始 司 福 用 今 给 研 除 用 墓 本 发 共 柜 又 阙 杂 既 * * *  利 牙 激 I * 为 无 温 乃 炉 M S H I c c *c 传 统 国 古 代 建 筑 的 砺 灰 及 其 基 本 性 质 a 开 始 用 牡 壳 煅 烧 石 灰 南 尽 对 古 证 K 避 不 B 要 尽 也 只 得 随 包 国 古 代 建 筑 的 砺 灰 及 其 基 本 性 质 传 统 国 古 代 建 筑 的 顿 灰 及 其 基 本 性 质 李 黎 张 俭 邵 明 申 提 要 灰 也 称 作 贝 壳 灰 蜊 灰 等 是 煅 烧 贝 壳 等 海 洋 生 物 得 的 氧 化 钙 为 主 要 成 分 的 材 料 灰 作 为 国 古 代 沿 海 地 区 常 用 的 建

More information

[1995] GB GBJ54-83

[1995] GB GBJ54-83 Code for design of low voltage electrial installations GB 50054-95 1996 6 1 [1995]325 1986 250 GB50054 95 GBJ54-83 1986 250 GBJ54-83 IEC 1 100055 1 0 1 1 0 2 500V 1 0 3 1 0 4 2 1 1 2 1 2 1 2 1 3 2 1 4

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

Protel Schematic

Protel Schematic 设计绘制标化第 页共 页批准 主回路校对审核徐德进 LG LE HE HG HG LG IF R R R R AC AC +HT R R C.NF-0V +HT NC ANODE CATHODE NC VEE VO VO U VO0 +V C 0N Q IKW0N0H Q IKW0N0H Q FGH0N0SFD Q FGH0N0SFD Q FGH0N0SFD Q FGH0N0SFD D HER0 D

More information

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * /

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 2005 http://www.hioki.cn HIOKI,, IEC JIS HIOKI 3173 3158 3159 3153 3154 : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 3156 3157 3931 3930 9267 PC ( JIS/IEC/UL

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

Protel Schematic

Protel Schematic J SP0-. 0 To SP oard J SP-. 0 To SP oard. TRX PWR_SW PN0YR N N N N N TTL_TX TTL_RX 0 PWR_RP 0 0 0 0 R 00R R0 00R R 00R R 00R L 0uH L 0uH L 0uH L 0uH L 0uH L 0uH J PJ-ST- M&PTT J PJ-ST- uto Key J SP0-.

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

tbjx0164ZW.PDF

tbjx0164ZW.PDF F = k Q Q r F = k Q = k Q r r Q Q = Fr k = C 0 5 C 9 0 5 Q 0 3 n = = 9 = 65. 0 e 6. 0 4 3 A B 7 7 9 6 C D 7 7 F = k q 7q = k 7q r r q + 7q = 4q F = k 4q 4q = k 6q r r F = 6 F 7 7q q = 3q s c = t s c =

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

mm420£±£±-ÐÂ

mm420£±£±-ÐÂ MICROMASTER 420 MICROMASTER 420 MICROMASTER 420 CD-ROM 1 3 2 4 3 5 4 MICROMASTER 420 6 4.1 4.2 7 4.3 7 4.4 8 4.5 BOP / AOP 9 5 10 5.1 P0010 P0970 10 5.2 11 5.3 BOP (P0700=1) / 11 5.4 (AOP) 11 5.5 11 5.6

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060- D51.2 2003 MICROMSTER 410/420/430/440 D51.2 2003 micromaster MICROMSTER 410/420/430/440 0.12kW 250kW MICROMSTER 410/420/430/440 MICROMSTER 410 0.12 kw 0.75 kw 0.12kW 250kW MICROMSTER 420 0.12 kw 11 kw

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

TT210 1...1 1.1...1 1.2...2 1.2.1...2 1-1...2 1.2.2...2 1.3...3 1.3.1...3 1.4...4 1.4.1 1...4 1.4.2...4 1.4.3...4 1.4.4...4 2...4 2.1...4 2.2...5 2.2.1...5 2.2.2...5 2.2.3...6 2.2.4...6 2.2.5...6 2.2.6...7

More information

untitled

untitled 198 1375 1378 EN/IE 1384 19 U D I/O8/10/16/0/4 /3I/O19 41 415 430... 430... 431... 43 UI/O... 433-01... 434 -D11... 436 -T001/T101... 437 ompobus/ I/O -RT1... 438 -IF1... 439 /R-3 -IF01-V1... 441 R-4/R-3

More information

MV220 OSDB xls

MV220 OSDB xls MV22OHB SD BOARD Version 一 1 CDS SMD GL5516 5-10K ø5mm PCS 1 R6 SHENBA LED TH BLUE LED 2P 5mm PCS 1 LD1 QUANTUM 2 TACT SWITCH TH 6*6*4.3mm RIGHT ANGLE PCS 6 SW1,SW2,SW3,SW4,SW5,SW6 虹达 / 港源 3 WAFER TH PH12P

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

<49434F415220B0EABBDAB4BCBC7AABACBEF7BEB9A448AFE0A44FBB7BC3D24C6576656C2031AFC5BEC7ACECC344AE772E786C73>

<49434F415220B0EABBDAB4BCBC7AABACBEF7BEB9A448AFE0A44FBB7BC3D24C6576656C2031AFC5BEC7ACECC344AE772E786C73> 3 2 IOR 國 際 智 慧 型 機 器 人 能 力 認 證 Level 1 級 學 科 題 庫 2013.10.14. 公 佈 答 案 題 號 考 題 1 P 型 半 導 體 中 之 少 數 載 子 為 () 電 子 () 電 洞 () 正 離 子 () 負 離 子 2 P 型 半 導 體 與 N 型 半 導 體 結 合 時, 會 在 PN 接 合 面 上 形 成 空 乏 區, 則 空 乏 區

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

G30

G30 I/O R TD Modbus RTU RS Modbus RTU TCP/IP MB MMI 0 I/O RTD A V W var VA PF Hz Wh varh LED L CD RS 9,00pbs BaseT Mbs ModBus TM RTU ModBus TM TCP/IP (DNP) EnerVista R TD 5 6 95 96 www.gemultilin.com/cn 69

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Protel Schematic

Protel Schematic Number evision Size ate: -ug- Sheet of File: :\WOWS\esktop\ 新建文件夹 \d-main.sch. rawn y: PN- L I SV S- MUT MUT PW L VS N T L uh L uh u/v u/v K k. p p K V S k K k V S K K K K P V S. u/v u/v L.uH p p.k V S.K.K.

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

VGA-LCD

VGA-LCD PL GRPHIS OR Revision 0. 0..00 Index Group Main Page PL SRM VG S-VIEO L Revision 0. System rchitecture Top lock Main Main 0.0.00 PU 外部 MU 控制 PL SRM VG TFT. L Memory 显存 URT 串口 0..00 开始布线 PL 控制核心 GRPH VG

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled OVEM zh 8068021 1702e [8068028] OVEM-DE : : : 1. / 2 Festo OVEM 1702e 1... 5 1.1... 5 1.2... 6 2... 7 2.1... 7 2.2... 7 2.3... 8 3... 9 3.1... 9 3.2... 10 3.3... 11 3.4... 13 3.5... 14 3.6... 14 3.7...

More information

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应 国 ' 东 极 也 直 前 增 东 道 台 商 才 R od e ric h P t ak 略 论 时 期 国 与 东 南 亚 的 窝 贸 易 * 冯 立 军 已 劳 痢 内 容 提 要 国 与 东 南 亚 的 窝 贸 易 始 于 元 代 代 大 规 模 开 展 的 功 效 被 广 为 颂 扬 了 国 国 内 市 场 窝 的 匮 乏 窝 补 虚 损 代 上 流 社 会 群 体 趋 之 若 鹜 食 窝

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

: : a) ( ) b) c) 2

: : a) ( ) b) c) 2 3RW34 1 : : a) ( ) b) c) 2 1 1.1... 5 1.2 SIKOSTART 3RW 34... 5 1.3... 5 2 2.1... 5 2.2... 5 2.3 3... 6 2.4 3... 8 3... 10 4 4.1... 13 4.2... 13 4.3... 13 4.4... 14 4.5... 19 4.6... 20 4.7... 20 5 5.1...

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

Ps22Pdf

Ps22Pdf ,,,, : : (010 ) 84043279 13801081108 : (010 ) 64033424 E - mail: dd@ hep.com.cn : 55 : 100009 21, 21,,,,,, 8 EWB EDA, (CIP).,.:,2003.2 ISBN 7-04 - 011860-2......... - - - -. TM CIP (2003)000543 010-64054588

More information

rm-mpeg-187g ver1.0-3(1)

rm-mpeg-187g ver1.0-3(1) PU UG UG GXM_S9 LI VER:0-0- V_HPLL HPLL_EXT VSS_HPLL VIO_H GPIOH_0 (HMI_HP_O V) GPIOH_ (HMI_S_O V) GPIOH_ (HMI_SL_O V) GPIOH_ (GPIO_O V) GPIOH_ (SPIF_OUT // SPIF_IN) GPIOH_ GPIOH_ (JTG_TK // IS_M_LK) GPIOH_

More information

254-256 1931 300 1982 338 132 133 219 220 101 184 45 45 149 151 155 129 130 30 210 106 318 319 77 166 160161 128 130 1982 18 19 131 191 41 1943 11 13 47 222 16 29 1979 12 27 62 80 78 79 119

More information

<4D6963726F736F667420576F7264202D20C9F1C6E6B5C4B5E7D7D3BCBCCAF52E646F63>

<4D6963726F736F667420576F7264202D20C9F1C6E6B5C4B5E7D7D3BCBCCAF52E646F63> 神 奇 的 电 子 技 术 第 一 章 初 步 了 解 电 子 技 术 第 一 节 晶 体 管 的 种 类 一 按 半 导 体 材 料 和 极 性 分 类 按 晶 体 管 使 用 的 半 导 体 材 料 可 分 为 硅 材 料 晶 体 管 和 锗 材 料 晶 体 管 按 晶 体 管 的 极 性 可 分 为 锗 NPN 型 晶 体 管 锗 PNP 晶 体 管 硅 NPN 型 晶 体 管 和 硅 PNP

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

<4D F736F F D20C4EAB2FACCABD1F4C4DCB5E7B3D8D3A1CBA2C9E8B1B CCD7CFEEC4BF2E646F63>

<4D F736F F D20C4EAB2FACCABD1F4C4DCB5E7B3D8D3A1CBA2C9E8B1B CCD7CFEEC4BF2E646F63> 建 设 项 目 环 境 影 响 报 告 表 项 目 名 称 : 年 产 太 阳 能 电 池 印 刷 设 备 100 套 建 设 单 位 ( 盖 章 ): 苏 州 迈 为 科 技 股 份 有 限 公 司 编 制 日 期 : 2016 年 7 月 江 苏 省 环 境 保 护 厅 制 0 建 设 项 目 环 境 影 响 报 告 表 编 制 说 明 建 设 项 目 环 境 影 响 报 告 表 由 具 有 从

More information

Persuasive Techniques (motorcycle helmet)

Persuasive Techniques  (motorcycle helmet) M O D E A T H E E L E M E N T S O F A N A R G U M E N T 1n t h l s t e s t i m o n y g iv e n b e f o r e t h e M a ry l a n d Se n a t e t h e s p e a ke r m a ke s a s t r o n g c l a i m a b o u t t

More information

,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN G CIP (2003) ( ) : : : 880mm 1230mm 1/ 32 : 7.125

,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN G CIP (2003) ( ) : : : 880mm 1230mm 1/ 32 : 7.125 / / / 2003 ,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN 7-313-03506-3................ G647.38 CIP (2003) 087156 ( 877 200030 ) :64071208 : : 880mm 1230mm 1/ 32 : 7.125 :168 2003 10 1 2004 10 2 2004 10 2 :20

More information

s p o r t o w e j n a w i e r z c h n i s y n t e t y c z n, e jp o l i u r e t a n o w e j z o o n e j z n a s t p u j c e j k o n s t r u k c j i a

s p o r t o w e j n a w i e r z c h n i s y n t e t y c z n, e jp o l i u r e t a n o w e j z o o n e j z n a s t p u j c e j k o n s t r u k c j i a G d y n i a B u d o w a b o i s k a w i e l o f u n k c y j n e g o o n a w i e r z c h n i p o l i u r e t a n o w e j p r z y Z e s p o l e S z k H o t e l a r s k o- G a s t r o n o m i c z n y c h

More information

_NT K_SC_A5_ _print.pdf

_NT K_SC_A5_ _print.pdf The power behind competitiveness Ultron NTUPS www.deltagreentech.com.cn Ultron NT ii 1 : ---------------------------------------------------------1 2 : --------------------------------------------------------------------4

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

目 录

目      录 61 61 61 61 2004-2-23 Sunplus University Program http://www.unsp.com.cn E-mail:unsp@sunplus.com.cn 1 61 1... 5 1.1... 5 1.2 61... 5 2... 8 2.1... 8 2.2... 8 2.2.1... 8 2.2.2... 10 2.3... 10 2.3.1... 10

More information

MICROMSTER 420/430/440 MICROMSTER kw 11 kw 0.12kW 250kW D MICROMSTER kw 250kW C01 E86060-D B MICROMSTER 440

MICROMSTER 420/430/440 MICROMSTER kw 11 kw 0.12kW 250kW D MICROMSTER kw 250kW C01 E86060-D B MICROMSTER 440 产品样本 D51.2 10 2008 MICROMSTER 420/430/440 变频器 应用于驱动技术的通用型变频器 产品样本 D51.2 10 2008 MICROMSTER nswers for industry. MICROMSTER 420/430/440 MICROMSTER 420 0.12 kw 11 kw 0.12kW 250kW D51.2 2008.10 MICROMSTER

More information

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD ULTRAMAT 6 2 2 3 4 5 6 8 8 9 10 12 13 14 16 19 22 23 23 24 25 27 28 29 32 34 35 36 37 38 39 19 ULTRAMAT 6E ULTRAMAT 6E-2P 2 ULTRAMAT 6E-2R/3K 2~3 TÜV ULTRAMAT 6F ULTRAMAT 6F-2R 2 TÜV 1 BARTEC EEx p 2 MiniPurge

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

WT210/230数字功率计简易操作手册

WT210/230数字功率计简易操作手册 T0/0 数 字 功 率 计 操 作 手 册 I 040-0 第 版 目 录 第 章 第 章 第 章 功 能 说 明 与 数 字 显 示. 系 统 构 成 和 结 构 图... -. 数 字 / 字 符 初 始 菜 单... -. 测 量 期 间 的 自 动 量 程 监 视 器 量 程 溢 出 和 错 误 提 示... - 开 始 操 作 之 前. 连 接 直 接 输 入 时 的 测 量 回 路...

More information

,, ( ) ( ) ( ) 12, :,,,,,,,,,,,,,,,,, (CIP) /,. 2. :, ISBN :. TH CI P ( 2000 )44124 () ( ) : : :

,, ( ) ( ) ( ) 12, :,,,,,,,,,,,,,,,,, (CIP) /,. 2. :, ISBN :. TH CI P ( 2000 )44124 () ( ) : : : 2 1 () ,, ( ) ( ) ( ) 12, :,,,,,,,,,,,,,,,,, (CIP) /,. 2. :,2004 21 ISBN7-313 - 02392-8............ :. TH CI P ( 2000 )44124 () ( 877 200030 ) : 64071208 : :787mm1 092mm 1/ 16 : 24 :585 2000 11 1 2004

More information

制动踏板

制动踏板 : 00 01 AI 2006 VIN LFPH5ABC469010138 相 关 资 料............................................... FNB011C.................................. FRB011C2................................. FRB011C3 车 身 手 册........................................

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL 探 性 通 性 圣 重 ' 颠 并 格 洛 丽 亚 奈 勒 小 说 贝 雷 的 咖 啡 馆 对 圣 经 女 性 的 重 写 郭 晓 霞 内 容 提 要 雷 的 咖 啡 馆 中 权 社 会 支 配 的 女 性 形 象 美 国 当 代 著 名 黑 人 女 作 家 格 洛 丽 亚 过 对 6 个 圣 经 女 性 故 事 的 重 写 奈 勒 在 其 小 说 贝 覆 了 圣 经 中 被 父 揭 示 了 传 统

More information