Microsoft Word - 端口 CH.doc

Size: px
Start display at page:

Download "Microsoft Word - 端口 CH.doc"

Transcription

1 端口 (I/O Port) 结构及应用 端口 (I/O) 是单片机系统中最基本的一个资源, 用于外围状态的输入和控制信号的输出 不同结构的 I/O 在使用上是有差异的, 所以在使用时, 要事先了解该 I/O 的结构和定义, 才能正确应用 1. 端口 (I/O Port) 结构 中颖公司 SH6xxx 系列单片机中, 针对不同的应用, 设计了几种不同的 I/O 结构 如 : 开漏电路 (Open Drain) 和 CMOS 结构 以下对这些 I/O 的结构和应用分别进行说明 - CMOS 结构 I/O 中颖公司系列单片机典型的 COMS I/O 端口是一个标准的双向端口, 即可设置为输入端口, 又可设置为输出端口 端口在作为输出时, 依据具体的应用定位, 可以提供大小不等的驱动能力 端口在作为输入时, 呈现极高的输入阻抗, 对输入信号基本可视为开路或悬浮状态 这些端口的输入或输出状态完全由用户通过软件设置相应控制寄存器进行控制 并且每个端口都互相独立 CMOS I/O 端口的结构分为三种 图 1-1 为 CMOS I/O 结构图 1: VDD PULLEN PH/PL VDD Weak Pull high I/O Control Register DATA Regiser I/O Pad GND Weak Pull Low DATA READ DATA IN READ 图 1-1 CMOS I/O 结构图 1 GND 特点 : 1) 此端口设计中有内建的上拉 / 下拉 CMOS 电阻 ( 几十至几百千欧姆不等, 依单片机型号而定 ), 此功能仅在端口设置为输入状态时才能启用, 在

2 输出状态会自动关闭, 且每个端口互相独立 2) 通过寄存器 PULLEN 控制位选择是否允许上拉 / 下拉电阻功能 ; 通过寄存器 PH/PL 控制位选择上拉或下拉电阻功能 这些内建的上拉 / 下拉 CMOS 电阻在设计中可以节省电路板上的外部上拉 / 下拉电阻及电路板面积 图 1-2 为 CMOS I/O 结构图 2: VDD PULLEN VDD Weak Pull high I/O Control Register DATA Regiser I/O Pad GND DATA READ DATA IN READ 图 1-2 CMOS I/O 结构图 2 此端口设计中只有内建的上拉 CMOS 电阻 ( 几十至几百千欧姆不等, 依单片机型号而定 ), 此功能仅在端口设置为输入状态时才能启用, 在输出状态会自动关闭, 且每个端口互相独立 通过寄存器 PULLEN 控制位选择是否允许上拉电阻功能 图 1-3 为 CMOS I/O 结构图 3: VDD Pull high Register I/O Control Register VDD Pull high DATA Register I/O Pad DATA READ DATA IN READ M2T1 0 1 s 图 1-3 CMOS I/O 结构图 3 GND 此端口设计中有内建的上拉 CMOS 电阻 ( 几十千欧姆 ), 此功能仅在端口设置为输入状态时才能启用, 在输出状态会自动关闭, 且每个端口互相独立 通过寄存器 PULLEN 控制位选择是否允许上拉电阻功能

3 开漏结构 ( Open Drain) I/O 图 1-4 是开漏结构的 I/O 端口结构 : DATA Regiser I/O Pad I/O Control Register GND DATA READ DATA IN READ 图 1-4 开漏结构 I/O 结构图开漏结构端口输出 0 时, 在引脚输出低电平 而输出 1 时, 在引脚输出表现为高阻抗 如果要取得高电平则需要使用外部上拉电阻, 如图 1-5 此外部高电平可以高于或低于单片机的工作电压, 使用户可以根据外围电路需求取得需要的输出控制电平 同时这一特性可允许多个开漏结构端口并联使用, 而不会造成冲突 VCC I/O PAD Data-Out Data-In 图 1-5 开漏结构端口的应用 2. 端口 (I/O Port) 的操作 每个端口都有独立的输入 / 输出状态控制寄存器 (PxCR) 其中 x 对应 A,B,C, D 等不同端口 通过用户软件对这些状态控制寄存器写入 0 或 1, 就可以独立控制每一个端口的输入 / 输出状态,0 为输入状态,1 为输出状态 一旦向端口输入 / 输出状态控制寄存器 (PxCR) 写入数据后, 端口将一直保持用户设定的状态, 直至下一次被改写 端口在系统复位后 ( 上电复位 外部 RESET 低电压检测复位及看门狗溢出复位 ) 的初始状态为输入悬空状态 ( 数据寄存器复位为 0 PxCR 中数据复位为 0) 为了避免单片机复位时, 端口的不确定状态对外部电路的工作状态产生影响, 对处

4 于输入悬空状态的端口, 可以通过在外部加上拉 / 下拉电阻加以解决, 使在系统复位开始到端口被重新设置期间, 外部电路都能保持在正确的工作状态 不使用的 I/O 端口不可以处于悬空输入状态 建议设置为输入, 同时使用内部或外部上拉或下拉电阻, 或设置为输出低电平 相关寄存器介绍 : 端口数据寄存器 地址 第 3 位 第 2 位 第 1 位 第 0 位 读 / 写 说明 $08 PA.3 PA.2 PA.1 PA.0 读 / 写 端口 A 数据寄存器 此寄存器除了可直接控制输出 0 或 1 于端口外, 在大部分产品中还可 以用于控制各个端口上拉或下拉电阻的开与关 端口模式控制寄存器 地址 第 3 位 第 2 位 第 1 位 第 0 位 读 / 写 说明 $16 PACR.3 PACR.2 PACR.1 PACR.0 读 / 写 端口 A 控制寄存器写入 0: 设置为输入端口写入 1: 设置为输出端口 这个寄存器用于控制端口的输入或输出, 每个 bit 都可独立地设为输入或输 出 上拉 / 下拉电阻控制寄存器 地址 第 3 位 第 2 位 第 1 位 第 0 位 读 / 写 说明 $13 PULLEN PH/PL X X 读 / 写 端口上拉 / 下拉电阻控制 PULLEN=0: 关闭上拉 / 下拉电阻功能 PULLEN=1: 开启上拉 / 下拉电阻功能 PH/PL=0: 选择下拉电阻 PH/PL=1: 选择上拉电阻 此寄存器与端口数据寄存器配合控制上拉电阻的开与关 下面以实例来说明 I/O 端口的设定 用户可根据不同的应用选择开启上拉电阻或下拉电阻, 下面以选择 PORT A 为例说明上下拉电阻的设定 设 PORT A 为输入打开下拉步骤一 对端口模式寄存器 PA3OUT 写 0 把端口设为输入步骤二 对上下拉电阻控制寄存器 PULLEN 和 PH/PL 分别写 1 和

5 0 步骤三 对端口数据寄存器写 0 例 2-1:PORTA 设值 PORTA EQU 08H ; 端口数据寄存器 PULLCTL EQU 15H ; 上 ( 下 ) 拉电阻控制寄存器 PACR EQU 13H ; 端口模式寄存器 : : PACR,00H ; 设端口 A 为输入 PULLCTL,08H ; PORTA,00H ; 打开端口 A 的下拉电阻 端口的读写操作每个端口都有独立的端口数据寄存器 (Px) 其中 x 对应 A,B,C,D 等不同端口 当端口为输入状态时, 对端口数据寄存器 (Px) 进行读操作可以直接获得引脚上的外部电平状态, 对端口数据寄存器 (Px) 进行写操作则只会改写数据寄存器的内容而不会影响引脚的外部电平状态 当端口为输出状态时, 对端口数据寄存器 (Px) 进行写操作则会改写数据寄存器的内容, 同时在引脚上加以输出 对端口数据寄存器 (Px) 进行读操作则分为两种 : 1) 对于如 CMOS I/O 结构 3 中所示的端口, 在输出状态下执行读操作时, 读入的数据是数据寄存器 (Px) 中保存的数据, 而非引脚上的电平状态 2) 对于如 CMOS I/O 结构 1,2 中所示的端口, 在输出状态下执行读操作时, 读入的数据是引脚上的外部电平状态, 而非数据寄存器 (Px) 内数据 针对上述状况 ( 在输出状态下的读操作的数据来源存在差异 ), 程序中直接对 I/O 端口进行逻辑指令操作需要慎重处理, 因为 I/O 逻辑操作指令的执行过程是先执行读操作, 再执行逻辑运算, 最后执行写操作, 也称为 Read-Modify-Write 指令 端口的输出驱动能力每个端口的输出驱动能力由组成 I/O 结构的 P 沟道和 N 沟道场效应管决定 在输出为空负载时, 输出高电平接近 VDD, 输出低电平接近 0V 依不同型号, 其驱动能力从几毫安至上百毫安不等 ( 请参考具体型号单片机规格书 ) 在一些低功耗设计的应用场合中, 具备较强输出驱动能力的端口在电路中可以作

6 为部分外围器件的电源使用, 输出 1 开始供电, 输出 0, 切断外围电路供电, 达到省电目的 (Power down) 中颖公司 SH69,SH67 系列单片机中,I/O 端口都内置静电 (ESD) 保护电路, 以保护单片机不受静电损伤及干扰程序运行 3. 特殊应用实例 I/O 端口用于市电 ( 交流电 ) 过零检测 单片机的 I/O 端口存在着内置的 ESD 保护二极管, 其作用在于将施于 I/O 端口的外部输入电压钳位在 VDD~0V 范围之内, 以保护单片机不被损坏 在确保输入电流不超过 1mA 时, 此特性可以用于市电 ( 交流电 ) 的过零检测 电路如下图 1-6: AC 220V VDD I/O Control Register VDD ESD Diode Resister >5MΩ DATA Regiser I/O Pad ESD Diode DATA READ DATA IN GND GND READ 图 1-6 I/O 端口用于市电 ( 交流电 ) 过零检测原理示意图 将 I/O 端口和市电 ( 交流电 ) 通过 5 兆 ~10 兆欧姆的限流电阻直接连接, 当市电高于单片机工作电压 VDD 时,I/O 端口通过 ESD 保护二极管使输入电压钳位在 VDD 处,I/O 读入状态为 1 当市电由高于 1/2 VDD 转为低于 1/2 VDD 时,I/O 读入状态也由 1 变 0, 此时可以认为是交流电的过零状态 当市电电压低于 0V 时, I/O 端口通过 ESD 保护二极管使输入电压钳位在 0V 处,I/O 读入状态为 0 这样就可以通过读入 I/O 端口数据的变化得到交流电的过零点 程序可以通过端口中断或定时扫描端口的处理方式来实现交流电的过零检测功能 应用中需注意 : 1. 交流电一般会掺杂较大的噪声, 中断或扫描的处理中要考虑增加去抖动处理才不会多计入过零点 2. 限流电阻应采用多个电阻串联, 以防单个电阻的耐压不够导致损坏限流电

7 阻 3. 开漏结构的端口因为没有对 VDD 的 ESD 保护二极管, 所以不能作此应用 交流电过零点开关灯泡的应用实例 1) 电路设计 图 1-7 交流电过零点开关灯泡电路电路采用交流电源,4M 晶振, 交流电通过阻容降压 (R2 和 C1) 后, 通过二极管 D1 和 D2 进行半波整流, 然后再经稳压管 D5 稳压到 5.1V, 提供 IC 工作的电压 可控硅 C106D 是单向可控硅, 当芯片检测到交流电过零时, 通过 PA.3 口控制可控硅打开 / 关闭灯泡, 图中电阻 R3 和二极管 D4 起保护作用,J3 接于图中 B 点 交流电经过保险管 F1 和限流电阻 R1 后, 再经过两 2 个串联的 1MΩ 限流电阻 R4 和 R5 流入 PA.2 口, 这样流入 PA.2 口的最大电流仅约为 0.16mA, 远远小于该 I/O 口的最大灌电流 ; 直接接到 PA.2 口上的两个二极管 D3 和 D6( 通常芯片 I/O 引脚内部已经有这两个二极管, 此例中为了更加安全, 在外部也增加了这两个二极管 ), 可以保证输入到 PA.2 口的电压值在芯片的正常工作范围内 例 3-1: 交流电过零点开关灯泡程序主要功能 : 在 TIMER0 中检测交流过零信号, 每当检测到过零时, 对可控硅控制口输出进行取反, 从而控制灯泡在交流过零时开关 ;*********************************************************** ; 系统寄存器的定义 ;***********************************************************

8 IE EQU 00H ; 中断使能标志 IRQ EQU 01H ; 中断请求标志 TM0 EQU 02H ; 定时器 0 模式寄存器 TL0 EQU 04H ; 定时器 0 装入 / 计数值低 4 位 TH0 EQU 05H ; 定时器 0 装入 / 计数值高 4 位 PORTA EQU 08H ;PORT A 数据寄存器 SETTING EQU 15H ;BIT3: 端口内部上 / 下拉电阻使能控制 ;BIT2: 端口内部上 / 下拉电阻控制 ;BIT1: 端口 B 端口 C 上升沿 / 下降沿中断控制 PAOUT EQU 16H ;PORT A 输入 / 输出状态控制寄存器 WDT EQU 1EH ; 看门狗定时器 ;*********************************************************** ; 用户寄存器的定义 ;*********************************************************** AC_BACKUP EQU 20H ; 各中断中备份累加器 AC 的值 WORK_FLAG0 EQU 21H ;BIT0: 交流过零标志 ;BIT1~3: 未使用 PORTA_B EQU 22H ;PORTA 口备份寄存器 ;*********************************************************** ; 向量地址区域 ;*********************************************************** ORG 00H JMP RESET ; 跳转到复位服务子程序的入口地址 RTNI ; 保留 JMP TIMER0INT ; 跳转到定时器 0 服务子程序的入口地址 RTNI RTNI ; 保留 ; 端口 B&C 中断服务子程序的入口地址 ;************************************************************ ; 定时器 0 中断服务子程序 ;************************************************************ TIMER0INT: AC_BACKUP,00H ; 保护累加器 AC 的值 ANDIM IRQ,1011B ; 清除定时器 0 中断请求标志 PASS_ZERO_CHECK_PART: ; 过零检测部分 ANDIM PAOUT,1011B ; 设置 PA.2 做为输入口

9 PORTA,00H ; 读取 PA.2 的值 BA2 PASS_ZERO_CHECK_PART10 ANDIM WORK_FLAG0,1110B ; 设置本次读取 PA.2 的状态为 0 JMP PASS_ZERO_CHECK_PART99 PASS_ZERO_CHECK_PART10: WORK_FLAG0,00H ; 检测 PA.2 口上次的状态 BA0 PASS_ZERO_CHECK_PART99 ORIM WORK_FLAG0,0001B ; 设置交流过零标志 EORIM PORTA_B,1000B ; 打开 / 关闭可控硅输出 ORIM JMP PORTA,00H PAOUT,1000B PASS_ZERO_CHECK_PART99 PASS_ZERO_CHECK_PART99: TIMER0INT_OUT: IE,0100B ; 打开定时器 0 中断使能标志 : 进入中断后, 硬件 会自 ; 动清除中断使能标志, 所以中断服务子程序执 行后 ; 要手动恢复中断使能标志 AC_BACKUP,00H ; 恢复累加器 AC 的值 RTNI ;************************************************************ ; 程序初始化部分 ;************************************************************ RESET: IE,00H ; 清除中断使能标志 TM0,0FH ; 设置定时器 0 的模式, 此处设定为 1 分频 TL0,06H ; 设定定时器 0 的定时时间, 工作频率为 4MHZ, 此 处 ; 设定定时时间为 250 微秒 TH0,00H ; 先装入定时器 0 计数值低 4 位, 再装入定时器 0 计 ; 数值高 4 位 PORTA,00H ; 设置 PORTA 口为低电平 PAOUT,1011B ; 设置 PA.3 作为输出口,PA.2 作为输入口 PORTA_B,00H ; 初始化 PORTA 口备份寄存器 AC_BACKUP,00H ; 初始化累加器 AC 的备份寄存器

10 WORK_FLAG0,00H ; 初始化 WORK_FLAG0 寄存器 IRQ,00H ; 清除中段请求标志 IE,0100B ; 打开定时器 0 中断使能标志 JMP MAIN_LOOP_PART ; 跳转到主程序部分 ;************************************************************ ; 主程序部分 ;************************************************************ MAIN_LOOP_PART: IE,0100B ; 打开定时器 0 中断使能标志 WDT,0FH ; 清看门狗寄存器, 防止看门狗溢出 HALT ; 进入 HALT 低功耗模式 JMP MAIN_LOOP_PART END ; 程序结束 2) 程序运行时过零检测口 PA.2 和可控硅控制口 PA.3 的波型如图 1-8 图 1-8 PA.2 & PA.3 波形图

11 图中 CH3 波型为过零检测口 PA.2 的输入波型,CH1 的波型为可控硅控制口 PA.3 的输出波型 从图中可以看到过零信号的周期约为 20.01ms, 频率约为 49.98HZ, 可控硅控制口 PA.3 输出波型的周期为 40.00ms, 频率为 25.00HZ, 与程序设计的要求完全符合 用 I/O 测量电阻值 在日常生活中, 我们时常需要通过温度来控制一些对象, 而温度参数大都通过 A/D 模块采样来获得 对于高精度的控制对象, 我们肯定需要通过 A/D 模块采样来求得参数, 在一些精度不需要太高, 采样参数很少, 而且芯片成本要低 ( 没有 AD 模块 ) 的情况下, 我们可以通过 I/0 来测量参数 例如房间空气调节器或者空调器遥控器, 需要做一个实际环境温度的测量, 在精度不要求很高的情况下, 完全可以通过 I/O 来测量温度参数 1) 测量原理首先我们熟悉一下温度传感器 通常温度传感器是负系数的, 即温度升高, 电阻值降低, 如果温度降低, 则传感器的电阻值增大 然后我们可以通过阻容 RC 测量充放电时间来间接求得电阻值 考虑到环境变化下, 电阻电容的参数都会有偏移, 所以我们采用比较的方式来测量电阻值 电路中我们采用一个高精度的金属膜电阻作为参考电阻, 然后用所测试的电阻的充电时间同参考电阻的充电时间比较来求取电阻值 U1 1 GND 2 PD0/vpp 3 PD1 4 OSCI/PE0 5 OSCO/PE1 6 PD2 7 PC0 8 PC1 9 PC2 10 PC3 SH67P33A VDD 20 REM 19 PA3 18 PA2 17 PA1 16 sda/pa0 15 PB3 14 PB2 13 PB1 12 PB0 11 R1 R2 10K Rc C1 103 SH67P33A 图 1-9 SH67P33A I/O 测温原理图 在实际应用中, 我们要考虑到测量的误差, 所以我们可以在求取参数时采用采样多次后求取平均值的方法来降低误差 通常情况下我们采样 次左右就可以了 在求取了时间参数后, 我们就可以采用运算或者查表的方式来求取电阻

12 值 同时我们采用芯片内部定时器来计数以减少误差, 这比软件计数要准备和精度高 RC 测温度基本原理是, 通过电阻向 C 充电, 用 TMR0, 或 TMR1, 或其它办法检查充电的时间, 有了时间就算出电阻值, 然后就可以查表计算出温度 由于单个 RC 电路要受 R C 的误差限制, 一般的是用分别用 2 个电阻 ( 一个是热敏电阻, 一个是标准电阻 ) 对同一个 C 充电 2 个电阻分别接一个 I/O 口, 分别对 C 充电 在一个端口作充电时间测量的时候, 另一个端口要设置成输入, 这样这个端口就成高阻状态而减少对测量端口的干扰 在充电测试完毕后一定要将 RC 彻底的放电再进行下一次的充电时间测量 公式是 :R 热敏 =T 热敏充电时间 *R 标准 /T 标准充电时间 可以看出, 公式排除了电容 C 的误差影响, 精度提高了, 并且对电容的选择要求就低了 对标准电阻要选择温度系数低的金属膜精密电阻 设计过程中要注意电阻 电容值的选择, 保证充电时间要落在记时器里面 为了保证计算方便, 一般的是标准电阻选所测温度对应的热敏电阻值范围内的中间值 另 :C 在一次充电完成后要及时彻底放电 在 R C 连接点并一个 I/O 口,C 充电时候,I/O 口设成输入并记录电平跳高, 放电就设成输出并置 0 2) 计算方法 : T ref = k R f C (1) T rt = k R t C (2) 由方程式 (1) (2) 可得到 : T ref / T rt = R f / R t 由此可以算出 R t 的值, 再经查表即可得到温度值 3) 用 RC 充放电测温之局限性 : 由于随着 VDD 变动电阻值也相应变化, 因此当 VDD 下降时温度测量误差将会增大, 而且在高温情况下误差较明显 4) 测量精度的控制时间的测量我们采用芯片内部的定时器技术来获取 在测量之前我们可以先估算一下测试的结果值, 然后确定需要多少位寄存器来计数

13 5) 程序设计下面例程是按照上面原理图来测试图中的 R c, 例程中 AD 采样的精度设置为 12 位 参照上面 1-9 原理图计算, 参考电阻的时间参数大概是 :10K*10 4 *10-12 =100us 所以将定时器设计成 1us 计数, 考虑到测试电阻值的大小, 设计为 3 个寄存器保留参数值 这样精度就是 12 位了 例 2-3 用 I/O 测量电阻 ;;;;;;;;;;;;;;;;system define ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; IE EQU 00H ; 中断允许标志 IRQ EQU 01H ; 中断请求标志 PA EQU 08H ;PORT A 数据寄存器 PB EQU 09H ; PORTB 数据寄存器 PC EQU 0AH ; PORT C 数据寄存器 PD EQU 0BH ; PORT D 数据寄存器 PE EQU 0CH ; PORT E 数据寄存器 PACR EQU 16H ; PORT A 输入输出控制寄存器 PBCR EQU 17H ; PORT B 输入输出控制寄存器 PCCR EQU 18H ; PORT C 输入输出控制寄存器 PDCR EQU 19H ; PORT D 输入输出控制寄存器 PECR EQU 1AH ; PORT E 输入输出控制寄存器 PMOD EQU 12H ;BIT0-BIT2: 载波输出时钟预分频设定 ;BIT3: 下拉允许控制位 DPL EQU 10H ; 数据指针低位 DPM EQU 11H ; 数据指针中间位 DPH EQU 12H ; 数据指针高位 INX EQU 0FH ; 间接索引寄存器 ;;;;;;;;;;;;;;;; ram define ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ACCBK EQU 20H ; 备份 ACC 寄存器 DELAYL EQU 21H ; 延时函数输入参数低位 DELAYM EQU DELAYL+1 ; 延时函数输入参数中位 DELAYH EQU DELAYL+2 ; 延时函数输入参数高位 TEMP EQU 24H ; 临时使用寄存器

14 TEMPL EQU 25H TEMPH EQU 26H DPL_BK EQU 27H ; 移位函数临时寄存器 DPM_BK EQU DPL_BK+1 ; 移位函数临时寄存器 PARA_BYTE_BK EQU DPL_BK+2 ; 移位函数临时寄存器 PARA_BYTE EQU DPL_BK+3 ; 移位函数输入参数, 移位字节 PARA_BIT EQU DPL_BK+4 ; 移位函数输入参数, 移位位数 TEMPSUB EQU DPL_BK+5 ; 移位函数临时寄存器 PBBUF EQU 2DH ;PORTB 输出缓冲寄存器 T0SUM EQU 2EH ;TIMER0 溢出计数器 ADTIME EQU 2FH ;AD 采样计数器 REFER_T0L EQU 30H ; 参考电阻当次采样定时器低位寄存器 REFER_T0H EQU 31H ; 参考电阻当次采样定时器高位寄存器 REFER_T0SUM EQU 32H ; 参考电阻当次采样定时器溢出寄存器 TEST_T0L EQU 34H ; 测试电阻当次采样定时器低位寄存器 TEST_T0H EQU 35H ; 测试电阻当次采样定时器高位寄存器 TEST_T0SUM EQU 36H ; 测试电阻当次采样定时器溢出寄存器 REFER_SUML EQU 38H ; 参考电阻采样平均值低位 REFER_SUMM EQU 39H ; 参考电阻采样平均值中位 REFER_SUMH EQU 3AH ; 参考电阻采样平均值高位 TEST_SUML EQU 3CH ; 测试电阻采样平均值低位 TEST_SUMM EQU 3DH ; 测试电阻采样平均值中位 TEST_SUMH EQU 3EH ; 测试电阻采样平均值高位 DIVL EQU 44H ; 除数 DIVM EQU DIVL+1 DIVH EQU DIVL+2 BDIV_PL EQU DIVL+3 BDIVL EQU DIVL+4 ; 被除数 BDIVM EQU DIVL+5 BDIVH EQU DIVL+6 RESULT_PL EQU DIVL+7 ; 结果的小数部分 RESULTL EQU DIVL+8 ; 商的整数低位

15 RESULTM EQU DIVL+9 ; 商中整数间位 RESULTH EQU DIVL+0AH ; 商的整数高位 ;;;;;;;;;;;;;;;; port define ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; REFER EQU 02H ;PA1 TEST EQU 01H ;PA0 SAMPLE EQU 08H ;PB2 ADPORTCR EQU PBCR ;AD 采样端口控制寄存器 ADPORT EQU PB ;AD 采样端口 IET0 EQU 04H SCALE1 EQU 0111B SCALE8 EQU 0100B SCALE2048 EQU 0000B ORG 0000H JMP RESET ; 复位入口地址 JMP ONLYRET JMP T0ISR ; 定时器 T0 中断入口地址 JMP ONLYRET JMP PBCISR ;PBC 中断入口地址 PBCISR: JMP ACCBK,00H T0RET T0ISR: ACCBK,00H ; 备份 ACC 寄存器 WDT,08H ADIM T0SUM,01H ; 定时器溢出寄存器加 1 T0RET: IRQ,00H ; 清除中断请求标志 IE,IET0 ; 允许定时器溢出中断 ACCBK,00H ; 恢复 ACC 寄存器的值 ONLYRET: RTNI ; 退出中断子程序 RESET: ; 上电稳定空跑 4 条指令

16 WDT,08H ; 清除 watchdog 计数 IRQ,00H ; 清除中断请求标志 IE,00H ; 不允许中断 REM,0H ; 初始化设置端口 PACR,0FH PBCR,0FH PCCR,0FH PDCR,06H PECR,0FH PA,00H PB,00H PC,00H PD,00H PE,00H PMOD,0101B ; 不允许下拉电阻 CLEARRAM: ; 清 0 RAM 020H-4FH 区 DPL,00H DPM,02H DPH,00H?CLRINX: ADIM BNC ADIM ANDIM SBI BNC INX,00H DPL,01H?CLRINX DPM,01H DPM,07H DPM,05H?CLRINX ADSAMPLE: ADPORTCR,1111B ; 设定采样端为输出 ADPORT,0000B ; 输出低电平放电 WDT,08H ; 清除 watchdog 计数

17 PACR,1111B ; 将电容 C 彻底放电 CALL PA,00H PARA10MS ADPORT,00H ; 检测是否放电完毕, 没有继续放电 BA2 $-3 ADPORTCR,1011B ; 设定采样端为输入 ADPORT,0000B ; 输入端拉低 T0SUM,00H ; 清 0 定时器溢出计数器 WDT,08H ; 清除 watchdog 计数 PACR,0010B ; 将参考电阻端为输出, 其它端口输入 PA,0010B ; 参考电阻端输出高电平充电 T0M,SCALE1 ; 定时器预分频设为 1us T0L,00H ; 定时器清 0 T0H,00H IE,IET0 ; 允许定时器中断 ADPORT,00H ; 检测是否充电完毕 BA2 $+2 ; 充电完就再检测一次确认 JMP $-3 ; 没有就继续充电 ADPORT,00H ; 检测是否充电完毕 BA2 $+2 ; 充电完毕就读取参数 JMP $-7 ; 没有就继续充电 T0H,00H ; 保存充电参数 ADDM ADCM ADCM REFER_T0H,00H T0L,00H REFER_T0L,00H REFER_SUML,00H REFER_T0H,00H REFER_SUMM,00H T0SUM,00H REFER_T0SUM,00H REFER_SUMH,00H T0SUM,00H ADPORTCR,1111B

18 ADPORT,0000B CALL WDT,08H PACR,1111B PA,00H PARA10MS ADPORT,00H BA2 $-3 ADPORTCR,1011B ADPORT,0000B T0SUM,00H WDT,08H PACR,0001B PA,0001B T0L,00H T0H,00H ADPORT,00H BA2 $+2 JMP $-3 ADPORT BA2 $+2 JMP $-7 ADDM ADCM ADCM T0H,00H TEST_T0H,00H T0L,00H TEST_T0L,00H TEST_SUML,00H TEST_T0H,00H TEST_SUMM,00H T0SUM,00H TEST_T0SUM,00H TEST_SUMH,00H ADIM ADTIME,01H ;AD 采样技术其加 1 SBI ADTIME,01H ; 判断是否是第一次采样

19 BAZ ADSAMPLE ; 是第一次就不求平均值 SBI ADTIME,15 ; 是否已经采样了 15 次 BC TEST_OK ; 是就退出采样 DPL,REFER_SUML & 0FH ; 求参考电阻充电时间平均值 CALL DPM,(REFER_SUML >> 4) & 0FH PARA_BYTE,03H PARA_BIT,01H SHRC_N DPL,TEST_SUML & 0FH ; 求测试电阻充电时间平均值 CALL DPM,(TEST_SUML >> 4) & 0FH PARA_BYTE,03H PARA_BIT,01H SHRC_N JMP ADSAMPLE ; 继续下一次采样 TEST_OK: REFER_SUML,00H ; 用除法求取测试电阻同参考电阻的比值 CALL DIVL,00H REFER_SUMM,00H DIVM,00H REFER_SUMH,00H DIVH,00H TEST_SUML,00H BDIVL,00H TEST_SUMM,00H BDIVM,00H TEST_SUMH,00H BDIVH,00H DIV TOHALT: T0M,SCALE2048 ; 定时器预分频设为 2ms T0L,06H ; 设定每 500ms 采样一次 T0H,00H WDT,08H ; 清除 watchdog 计数 IRQ,00H IE,IET0

20 HALT JMP RESET ;=================================================== ;FunctionName:SHRC_N ;Input:DPL,DPM,DPH,PARA_BYTE( 连续移位字节数 ),PARA_BIT( 移位位数 ) ;Output: ;Temporary:TEMPSUB,DPL_BK,DPM_BK,PARA_BYTE_BK ;CalledSubroutine: ;Description: 将指定同一 bank 中的连续存储单元右移指定位数 ;=================================================== SHRC_N: DPL,00H ; 备份 rdpl 参数 -->zdplbk,zdpmbk DPL_BK,00H DPM,00H ; 备份 rdpm 参数 DPM_BK,00H PARA_BYTE,00H ; 备份 zpara_byte 参数 PARA_BYTE_BK,00H BAZ SHFRET ; 如果移位字节数 =0 就退出函数 PARA_BIT,00H?SHRFIRST: BAZ SHFRET ; 如果移位位数 =0 就退出函数 INX,00H SHR ; 右移间接指定寄存器 1 位 INX,00H SBIM PARA_BYTE,01H ; 移位字节数减 1 BAZ?SHRNEXT ; 结果 =0 就转到移位下一位 ADIM DPL,01H ; 间接寄存器指针低位 +1 EOR ADCM DPL,00H DPM,00H?SHRLOOP: TEMPSUB,00H INX,00H SHR ; 右移间接指定寄存器 1 位 INX,00H

21 BNC $+2 TEMPSUB,08H ; 如果进位 C=1,ztempsub=8 SBIM DPL,01H ; 返回上一寄存器 EOR SBCM DPL,00H DPM,00H TEMPSUB,00H ; 将高一字节的低位加入 ADDM INX,00H SBIM PARA_BYTE,01H ; 移位字节数减 1 BAZ?SHRNEXT ; 结果 =0 就转到移位下一位 ADIM EOR ADCM JMP DPL,02H DPL,00H DPM,00H?SHRLOOP?SHRNEXT: SBIM PARA_BIT,01H ; 移位数减 1 BAZ SHFRET ; 结果 =0 就退出函数 DPL_BK,00H ; 恢复要移位寄存器的地址 DPL,00H DPM_BK,00H DPM,00H PARA_BYTE_BK,00H ; 恢复要连续移位的字节数 JMP PARA_BYTE,00H?SHRFIRST SHFRET: RTNI PARA10MS: ; 延时 10ms 参数 DELAYL,02H DELAYM,0EH ELAYH,04H TIME_DELAY: ; 调用函数延时 7X+2 条指令周期 WDT,08H ; 清除 watchdog 计数 SBIM DELAYL,01H ; EOR DELAYL,00H ; SBCM DELAYM,00H ; EOR DELAYM,00H ; SBCM DELAYH,00H ; BC TIME_DELAY ;

22 RTNI ; ;=================================================== ;FunctionName:DIV ;Input: ;Output:RESULT_PL,RESULTL,RESULTM,RESULTH ;Temporary: ;CalledSubroutine: ;Description: 除法子程序, 精确到一个小数字 ;=================================================== DIV: WDT,08H ;CLEAR WATCHDOG TIMER RESULTL,00H RESULTM,00H RESULTH,00H BDIV_PL,00H RESULT_PL,00H?DSUB: SUBM SBCM SBCM EOR SBCM BNC ADIM EOR ADCM EOR ADCM EOR ADCM JMP DIVL,00H BDIV_PL,00H DIVM,00H BDIVL,00H DIVH,00H BDIVM,00H BDIVM,00H BDIVH,00H DIV_RET RESULT_PL,01H RESULT_PL,00H RESULTL,00H RESULTL,00H RESULTM,00H RESULTM,00H RESULTH,00H?DSUB DIV_RET: RTNI END

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

Microsoft Word - 4位7段LED?示 CH.doc

Microsoft Word - 4位7段LED?示 CH.doc 4 位 7 段 LED 显示 在单片机应用系统中经常使用发光二极管来显示, 发光二极管简称 LED (Light Emitting Diode) LED 的价格便宜, 而且配置比较灵活, 与单片机的接口也比较方便 在这里将讲解如何使用中颖的单片机进行 4 位 7 段 LED 显示的方法 1. 7 段 LED 的结构原理 单片机中经常使用 7 段 LED 来显示数字, 也就是用 7 个 LED 构成字型

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

冲宽度调制器(Pulse Width Modulator)

冲宽度调制器(Pulse Width Modulator) 冲宽度调制器 (Pulse Width Modulator) (Pulse Width Modulator) 即为脉冲宽度调制 中颖公司 SH6xxx 产品线中很多产品已经集成了多种特性的 模块, 其 x 管脚可以输出占空比分辨率从 6 位到 8 位和 10 位分别可调的方波, 满足不同系统设计的需求 所谓占空比是指在一个方波周期内有效电平 ( 高电平或低电平 ) 的宽度 ( 脉宽 ) 在这一章中我们将以最普通的

More information

Microsoft Word - 蜂?器??模? CH.doc

Microsoft Word - 蜂?器??模? CH.doc 蜂鸣器驱动模块 在单片机应用的设计上, 很多方案都会用到蜂鸣器, 大部分都是使用蜂鸣器来做提示或报警, 比如按键按下 开始工作 工作结束或是故障等等 这里对中颖电子的单片机在蜂鸣器驱动上的应用作一下描述 1. 驱动方式 由于自激蜂鸣器是直流电压驱动的, 不需要利用交流信号进行驱动, 只需对驱动口输出驱动电平并通过三极管放大驱动电流就能使蜂鸣器发出声音, 很简单, 这里就不对自激蜂鸣器进行说明了 这里只对必须用

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向 新 东 方 全 国 法 律 硕 士 ( 非 法 学 ) 联 考 模 拟 考 试 专 业 基 础 课 答 案 解 析 一 单 项 选 择 题 1. 答 案 D 本 题 主 要 考 查 刑 法 分 则 中 关 于 亲 告 罪 与 非 亲 告 罪 的 规 定 要 注 意 这 些 亲 告 罪 在 有 特 别 的 情 况 下, 是 公 诉 犯 罪 我 国 刑 法 共 规 定 了 5 种 告 诉 才 处 理 的

More information

Microsoft Word - ha0013s.doc

Microsoft Word - ha0013s.doc 文件编码 :HA0013s 简介 : 本文介绍利用 8 位微控制器控制 DV16100NRB 液晶显示驱动器的方法 该 LCM 由内置的 Hitachi HD44780 进行驱动及控制 本文应用中, 着重考虑如何使微控制器产生正确的信号以符合 LCM 所需的时序 若要获得详细的时序及指令信息, 请查阅 LCM 厂商的资料 LCM 能以 4 位或 8 位模式工作 在 4 位模式下, 传送一个字符或一条指令需两个传输周期完成

More information

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精 2015 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 中 医 综 合 科 目 试 题 解 析 一 A 型 题 :1~80 小 题, 每 小 题 1.5 分, 共 120 分 在 每 小 题 给 出 的 A B C D 四 个 选 项 中, 请 选 出 一 项 最 符 合 题 目 要 求 的 1. 提 出 阳 常 有 余, 阴 常 不 足 观 点 的 医 家 是 A 朱 丹 溪 B 刘 完

More information

zt

zt ! " " " " " " " " " " !" %$$#! " "& ((! "!"#!"!" #!#$ "#$!$ "$!"##!"$!!"#!"!" % #$%" % # "% &!!!& ()*+,,-!& ()*+,,-*! "!,-!,-* "!)&*+,,-!)&*+,,-* "&(!$%!"! &!& ()&0,;!/) (&-:A 2-1,;!/) +2(192>*.) /0-1

More information

2013年3月国家教师资格统一考试

2013年3月国家教师资格统一考试 2016 年 导 游 资 格 考 试 导 游 基 础 模 拟 试 题 及 答 案 4 一 单 项 选 择 题 ( 请 选 择 一 个 正 确 答 案, 并 将 正 确 答 案 涂 在 答 题 卡 相 应 的 位 置 上 共 60 小 题, 每 小 题 0.5 分, 共 30 分 ) 1. 马 克 思 列 宁 主 义 同 中 国 实 际 相 结 合 的 第 二 次 历 史 性 飞 跃 的 理 论 成

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

72 + + 60 2 4. 72 72 2 + 20 2 + 2.4 20 60 60 8 =.4 22 72 2 + 002 + 0.4 20 60 90 =.4 22 2 + 600 0.44 72 + + 60 72.422 + 2.4 20 + 20 2 60 72 =.4 22 2 + 0 20 + 40 60 72 =.4 40 + 600 + 600 60 72 =.400 + 600

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

HS2303-P

HS2303-P HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器

More information

Ps22Pdf

Ps22Pdf A B C D A B C D A B C D a a b c x x x x x x x x x x x x x x x x x a b c x a x x x x x x x x x x a b a b a b x x x x x x x x x x x x A B C A B C A B A B A x B C x D A B C a b c a b x x x x x x x A B A

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Cost_Effective C Handbook

Cost_Effective C Handbook HT8 MCU 看门狗 (WDT) 应用范例 防止死机 文件编码 :AN0426S 简介 Holtek Flash MCU 提供了一个功能单元 WDT (Watch Dog Timer) 看门狗定时器, 其最主要的功能是避免程序因不可预期的因素 ( 如 : 电路噪声 ) 造成系统长时间的瘫痪 ( 如 : 跳至死循环或未知地址造成无法预测的结果 ) 功能说明 Holtek 新推出的 MCU 已无 2

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

Microsoft Word - cjfg_jy0201.doc

Microsoft Word - cjfg_jy0201.doc 第 二 章 支 付 结 算 法 律 制 度 考 情 分 析 本 章 在 历 年 考 试 中 所 占 的 分 值 比 重 为 20 35 分 左 右 围 绕 支 付 结 算 展 开, 分 别 介 绍 了 现 金 管 理, 银 行 存 款 管 理, 以 及 各 种 支 付 结 算 工 具 本 章 重 点 为 第 四 节, 难 度 稍 高, 需 要 考 生 在 理 解 的 基 础 上 适 当 记 忆 第

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

北京2014年会计从业资格考试《会计基础》备考机试卷一

北京2014年会计从业资格考试《会计基础》备考机试卷一 更 多 内 容 请 查 看 精 品 文 库 网 www.jingpinwenku.com 北 京 2014 年 会 计 从 业 资 格 考 试 会 计 基 础 备 考 机 试 卷 一 1 单 项 选 择 题 ( 下 列 各 题 的 备 选 答 案 中, 请 从 中 选 出 一 个 最 符 合 题 意 的 答 案 本 类 题 共 20 个 小 题, 每 小 题 1 分, 共 20 分 多 选 错 选

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600,

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600, 0 1 1 1 2 19 2 3 33 3 4 45 4 5 57 5 6 71 6 8 83 8 10 95 10 12 107 12 15 119 15 18 131 18 21 143 21 24 155 2 2 167 2 3 179 [ ] 191 0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34.

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

bingdian001.com

bingdian001.com 2015 ( ) 1 A. B. C. D. B A ; C ; D 2 A. B. C. D. B C ; D 3 2014 2 5 7 1 100 1.4 2014 12 31 9 1 2015 2 20 8 ;3 20 11.6 1 2015 A.260 B.468 C.268 D.466.6 B = 8+(11.6-9)*100+ (9-7)*100=468 4. A. B. C. D. C

More information

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1

A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D N 1 1 1 3 5 5 8 9 9 11 13 14 16 17 17 19 21 23 25 26 26 29 31 32 32 33 34 35 37 38 1 1. 2. 3. 1. 2. 3. 4. 5. 1 2 3 1. A. B. C. D. 2. A. B. C. D. 3. A. 4 N B. 18 N C. 40 N D. 23. 5 N 1 1 2 3 1. A. B. C. D.

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為 戲 劇 研 究 200 年1月 創刊號 頁1 2 論說 折子戲 曾永義 世新大學講座教授 緒論 折子戲 這一戲曲名詞 大家耳熟能詳 但如果進一步思考 1. 折子戲 之名始於何時 2. 折子戲 之詞彙結構如何形成 3.如果把 折子戲 當作一生命體 那麼其源生 形成 成熟與衰老的不同 階段 各自如何 其源生 形成的背景如何 其成熟興盛和衰老頹廢的原因又是 如何 4.當折子戲成熟之時 折子戲本身具有何等樣的周延義涵

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

zt

zt ! ! !"" #" $ !"#$ % & " ())! "# ( ( * % & * % (+() (%, !"#$ "%& ( % !"!#$% $%&!"%! %& ( !" #$ %$!#!" & !" #$%$ &" ( ( ) * !! " #!$!! %&!! % ( ( &% )* )" ") (! !"#!"#!"$!!%!#%!&!(!(!)*!**!!%*!$* #") #")

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884

( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 884 , : :,, : ( CIP. :, 2004. 10 / ISBN 7-5054 - 1005-9.......... D630. 3-44 CIP ( 2004 055306 35 100044 ( 010) 68433166 ( ) ( 010) 68413840 /68433213( ) ( 010) 88415258( ) 787 1092 1 / 16 195 8 2004 10 1

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

第六章 数据分析(排列组合、概率和数据描述)

第六章 数据分析(排列组合、概率和数据描述) 考 纲. 排 列 组 合 第 六 章 数 据 分 析 ( 排 列 组 合 概 率 和 数 据 描 述 ) () 加 法 原 理 乘 法 原 理 () 排 列 与 排 列 数 () 组 合 与 组 合 数. 概 率 () 事 件 及 其 简 单 运 算 () 加 法 公 式 () 乘 法 公 式 () 古 典 概 型 () 贝 努 里 概 型. 数 据 描 述 一 排 列 组 合 ㈠ 知 识 要 点

More information

1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2

1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2 340 : 3 1 1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2 ( ) ( ) 2 A B D C C 3 C C A B D 3 6 : (1) : (2) A. : ( )- ( ) 4 : B. : ( ) ( )

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

bingdian001.com

bingdian001.com 2017 12 2 24 1 2 17 2 000 20 2 500 2 400 25 100 3 80 2 17 A B 80 C D 2 2 17 25 000 3 1 2 000 5 5 800 5 30 800 2 17 A B C D 3 2 17 2 16 20 20 2 17 2 16 2 17 20 000 18 000 A B C D 4 2 17 500 800 350 120

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处 北 京 市 会 计 从 业 资 格 无 纸 化 考 试 财 经 法 规 与 会 计 职 业 道 德 上 机 考 试 题 库 ( 五 ) 考 试 时 间 :60 分 钟 一 单 项 选 择 题 ( 本 题 共 20 分, 每 小 题 1 分 每 小 题 只 有 一 个 正 确 答 案, 多 选 错 选 漏 选, 不 得 分 ) 1. 纳 税 人 生 产 规 模 较 小 产 品 零 星 税 源 分 散

More information

<443A5CD7C0C3E65CC8BAD7CAC1CF5C323031344350415F73662E646F63>

<443A5CD7C0C3E65CC8BAD7CAC1CF5C323031344350415F73662E646F63> 2014 年 注 册 会 计 师 专 业 阶 段 考 试 税 法 试 题 及 答 案 一 单 项 选 择 题 1. 税 法 基 本 原 则 的 核 心 原 则 是 () A. 税 收 法 定 原 则 B. 税 收 公 平 原 则 C. 税 收 效 率 原 则 D. 实 质 课 税 原 则 答 案 A 解 析 税 收 法 定 原 则 是 税 法 基 本 原 则 的 核 心 知 识 点 税 法 基 本

More information

九十六學年度第一學期第三次定期考國文科試題

九十六學年度第一學期第三次定期考國文科試題 凡 答 案 卡 上 因 個 人 基 本 資 料 畫 記 錯 誤 或 不 完 全, 造 成 讀 卡 過 程 無 法 判 定 身 分 者, 本 科 此 次 定 期 考 分 數 扣 3 分 一 單 選 題 ( 每 題 2 分 )36% 1.( 甲 ) 乃 覺 三 十 里 :ㄐㄩㄝˊ( 乙 ) 經 宿 方 至 :ㄙㄨˋ( 丙 ) 乾 癟 :ㄅㄧㄢˇ( 丁 ) 垂 髫 : ㄊㄧㄠˊ( 戊 ) 一 綹 短 髮

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft Word - t0626.doc

Microsoft Word - t0626.doc 台 北 市 立 成 功 高 中 高 一 國 文 科 期 末 考 試 題 一 一 學 年 度 第 二 學 期 考 試 範 圍 : 三 民 版 課 本 ( 二 ):L9~L13 三 民 版 課 外 閱 讀 新 視 界 : 古 詩 選 鶯 鶯 傳 文 學 史 之 旅 : 第 46 至 50 天 在 答 案 卡 上 作 答, 答 案 卡 書 寫 班 級 座 號 姓 名 並 正 確 畫 記, 畫 記 錯 誤

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

东 奥 解 析 (1) 小 规 模 纳 税 人 销 售 货 物, 应 按 照 3% 的 征 收 率 计 算 应 纳 税 额, 不 得 抵 扣 进 项 税 额 ;(2) 计 税 依 据 含 增 值 税 的, 应 价 税 分 离 计 算 应 纳 税 额 知 识 点 小 规 模 纳 税 人 应 纳 税 额

东 奥 解 析 (1) 小 规 模 纳 税 人 销 售 货 物, 应 按 照 3% 的 征 收 率 计 算 应 纳 税 额, 不 得 抵 扣 进 项 税 额 ;(2) 计 税 依 据 含 增 值 税 的, 应 价 税 分 离 计 算 应 纳 税 额 知 识 点 小 规 模 纳 税 人 应 纳 税 额 一 单 项 选 择 题 1. 根 据 企 业 所 得 税 法 律 制 度 的 规 定, 下 列 关 于 企 业 所 得 税 税 前 扣 除 的 表 述 中, 不 正 确 的 是 ( ) A. 企 业 发 生 的 合 理 的 工 资 薪 金 的 支 出, 准 予 扣 除 B. 企 业 发 生 的 职 工 福 利 费 支 出 超 过 工 资 薪 金 总 额 的 14% 的 部 分, 准 予 在 以 后

More information

( 一 ) 全 面 贯 彻 党 和 国 家 的 教 育 方 针 政 策, 落 实 国 家 有 关 教 育 的 法 律 法 规 ; 研 究 草 拟 江 苏 省 教 育 法 规 和 政 策, 并 组 织 实 施 ( 二 ) 研 究 教 育 发 展 战 略 思 路, 统 筹 规 划 协 调 指 导 江 苏

( 一 ) 全 面 贯 彻 党 和 国 家 的 教 育 方 针 政 策, 落 实 国 家 有 关 教 育 的 法 律 法 规 ; 研 究 草 拟 江 苏 省 教 育 法 规 和 政 策, 并 组 织 实 施 ( 二 ) 研 究 教 育 发 展 战 略 思 路, 统 筹 规 划 协 调 指 导 江 苏 2008 年 江 苏 高 考 改 革 新 方 案 引 争 议 1. 案 例 概 述 1.1 案 例 简 介 2008 年 高 考 新 方 案 是 江 苏 省 10 年 内 的 第 五 套 高 考 方 案, 此 方 案 11 月 28 日 一 出 台 就 饱 受 争 议, 被 指 有 轻 理 化 的 嫌 疑 且 在 录 取 阶 段 出 现 了 录 取 准 则 不 明 确 的 现 象 针 对 此 现 象,

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

14. 阿 亮 在 寒 假 春 節 期 間 與 父 母 到 一 座 廟 裡 拜 拜, 廟 裡 的 神 有 掌 生 死 簿 的 判 官 勾 攝 生 魂 的 黑 白 無 常 執 行 拘 提 魂 魄 的 牛 頭 馬 面, 整 間 廟 看 起 來 有 些 陰 森, 請 問 阿 亮 到 了 哪 一 座 廟 內

14. 阿 亮 在 寒 假 春 節 期 間 與 父 母 到 一 座 廟 裡 拜 拜, 廟 裡 的 神 有 掌 生 死 簿 的 判 官 勾 攝 生 魂 的 黑 白 無 常 執 行 拘 提 魂 魄 的 牛 頭 馬 面, 整 間 廟 看 起 來 有 些 陰 森, 請 問 阿 亮 到 了 哪 一 座 廟 內 師 大 附 中 98 學 年 度 第 1 學 期 高 3 選 修 歷 史 上 第 1 類 組 第 二 次 期 中 考 一 單 選 題 ( 一 題 2 分 共 48 題 不 倒 扣 ) 1. 有 一 段 資 料 提 到 先 秦 某 一 家 的 學 說 : 有 支 持 泛 神 主 義 抒 情 主 義 和 無 政 府 主 義 的 趨 向 他 們 崇 信 宇 宙 間 的 一 元 組 織, 願 意 回 歸 到

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

2009年挑战乔戈里

2009年挑战乔戈里 2009 年 挑 战 乔 戈 里 活 动 概 况 : 乔 戈 里 峰 海 拔 8611 米, 它 是 喀 喇 昆 仑 山 脉 的 主 峰, 是 世 界 上 第 二 高 峰, 国 外 又 称 K2 峰 乔 戈 里 峰, 国 际 登 山 界 公 认 的 攀 登 难 度 较 大 的 山 峰 之 一 乔 戈 里 峰 峰 巅 呈 金 字 塔 形, 冰 崖 壁 立, 山 势 险 峻, 在 陡 峭 的 坡 壁 上

More information

北京金英杰医学考试中心

北京金英杰医学考试中心 目 录 社 会 主 义 法 治 理 念 备 考 提 示... 1 2013 年 大 纲 变 化... 1 法 理 学 备 考 提 示... 1 2013 年 大 纲 变 化... 1 法 制 史 备 考 提 示... 3 2013 年 大 纲 变 化... 3 宪 法 备 考 提 示... 4 2013 年 大 纲 变 化... 5 经 济 法 备 考 提 示... 8 2013 年 大 纲 变 化...

More information

高二立體幾何

高二立體幾何 008 / 009 學 年 教 學 設 計 獎 勵 計 劃 高 二 立 體 幾 何 參 選 編 號 :C00 學 科 名 稱 : 適 用 程 度 : 高 二 簡 介 一 本 教 學 設 計 的 目 的 高 中 立 體 幾 何 的 學 習 是 學 生 較 難 理 解 而 又 非 常 重 要 的 一 個 部 分, 也 是 高 中 教 學 中 較 難 講 授 的 一 個 部 分. 像 國 內 的 聯 校

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

优合会计考点直击卷子之财经法规答案——第八套

优合会计考点直击卷子之财经法规答案——第八套 原 题 导 航 基 础 第 一 套 第 1 题 参 考 答 案 : C 试 题 评 析 : 在 社 会 主 义 市 场 经 济 条 件 下, 会 计 的 对 象 是 社 会 再 生 产 过 程 中 主 要 以 货 币 表 现 的 经 济 活 动 第 2 题 参 考 答 案 :B 试 题 评 析 : 在 权 责 发 生 制 下, 本 期 售 货 尚 未 收 到 销 售 货 款 属 于 当 期 收 入

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

9202reply-s.doc

9202reply-s.doc 1 16 () (A) (B) (C) (D) B () B D (B) (D)22 (A) (B) (C) 5 12 C C 34 2 3 1. 89 42 (B) 2. 42 151 44 27 () () 69 79 89 (A) ( ) 1,803 2,039 2,217 (B) (/) 4.8 4.0 3.3 (C) 65 (%) 4.1 6.1 8.5 (D) (%) 9.9 15.8

More information

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的 2016 考 研 政 治 真 题 答 案 及 解 析 ( 完 整 版 ) 来 源 : 文 都 教 育 一 单 选 题 1.B 解 析 此 题 考 查 的 是 适 度 原 则 AC 选 项 表 述 正 确 但 与 题 目 无 关 D 表 述 错 误, 现 象 表 现 本 质 的 只 有 B 与 题 干 相 符, 所 以 答 案 为 B 2.A 解 析 前 一 句 话 " 自 由 不 在 于 幻 想 中

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

_InfraSuite datacenter_B5_SC_ _print.pdf

_InfraSuite datacenter_B5_SC_ _print.pdf The power behind competitiveness InfraSuite www.deltagreentech.com.cn InfraSuite II 1 ----------------------------------------------------------------------1 1.1 -----------------------------------------------------------------------------------------------

More information

正 确 答 案 BC 答 案 解 析 融 券 方 是 逆 回 购 方 买 入 返 售 方 资 金 融 出 方 例 2 多 选 题 所 债 券 回 购 市 场 的 参 与 主 体 主 要 有 ( ) A. 证 券 公 司 B. 保 险 公 司 C. 证 券 投 资 基 金 D. 上 市 商 业 银 行

正 确 答 案 BC 答 案 解 析 融 券 方 是 逆 回 购 方 买 入 返 售 方 资 金 融 出 方 例 2 多 选 题 所 债 券 回 购 市 场 的 参 与 主 体 主 要 有 ( ) A. 证 券 公 司 B. 保 险 公 司 C. 证 券 投 资 基 金 D. 上 市 商 业 银 行 第 九 章 债 券 回 购 交 易 本 章 主 要 考 点 1. 掌 握 债 券 质 押 式 回 购 交 易 的 概 念 2. 掌 握 所 债 券 质 押 式 回 购 交 易 的 基 本 规 则 3. 熟 悉 全 国 银 行 间 市 场 债 券 质 押 式 回 购 交 易 的 基 本 规 则 4. 熟 悉 债 券 买 断 式 回 购 交 易 的 含 义 5. 熟 悉 银 行 间 市 场 买 断 式

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可

( ) 16. 老 年 人 因 老 化 現 象 導 致 聽 力 較 差, 溝 通 時 應 以 高 頻 率 音 調 說 話 較 佳 編 碼 :01743 出 處 :0105 來 源 : 課 本 ( ) 17. 老 年 人 因 為 對 甜 鹹 的 味 覺 遲 鈍, 因 此 口 味 會 偏 重 此 時 可 高 中 健 康 與 護 理 ( 乙 版 )Ⅰ 第 一 章 我 的 健 康 我 作 主 第 五 節 面 對 老 化 Are you ready? 一 是 非 題 : 共 29 題 編 碼 :01727 出 處 :0105 來 源 : 課 本 ( ) 1. 聯 合 國 衛 生 組 織 訂 定 標 準 70 歲 以 上 即 稱 為 老 人 編 碼 :01728 出 處 :0105 來 源 : 課 本 (

More information