IP Deliverables (course topics)

Size: px
Start display at page:

Download "IP Deliverables (course topics)"

Transcription

1 FPGA Synthesis & Prototyping 國立雲林科技大學電子系 許明華

2 本單元在 DIP 設計中的角色 Write function spec. Write technical spec. Develop area/timing/power constraints Write RTL Run lint Develop testbench FPGA Synthesize Simulate Measure verification coverage Not enough Digital IP Prototyping

3 IP Prototyping System Digital Data GPIO Instrument Key Board RAM, ROM LED, LCD Analog Sensor A/D FPGA D/A Analog Device Printer Port RS232

4 IP Deliverables 在 DIP 設計中的角色 作為 DIP 之 FPGA 合成與實現 評估 DIP 雛型電路之功能驗証完整度 評估 DIP 雛型電路之效能 (Speed, Cost) 評估 DIP 雛型電路之介面完整度之查核點 評估 DIP 雛型電路在系統中之整合度

5 Course Contents FPGA Device Altera, Xilinx (pp. 5~39) FPGA Design Flow & Synthesis ISE, Quartus II (pp. 40~83) DIP Rapid Prototyping Platform (pp. 84~104) DIP Prototype System Measurement (pp.105~141) Design Example (pp.142~167)

6 FPGA Device FPGA Design Flow & Synthesis DIP Rapid Prototyping Platform DIP Prototype System Measurement Design Example

7 Increasing PLD Complexity PLD Complexity Drives Design Methodology Changes Increasing Time-to-Market Pressures Drive Change Glue Logic Control Logic Equations Schematics Complex Control Synthesis Macrofunctions SOPC Design Block-Based Design Second-Generation Synthesis IP Megafunctions Time

8 Altera Device Families Programmable Logic Families High & Medium Density FPGAs Stratix, APEX II, APEX 20K, & FLEX 10K Low-Cost FPGAs Cyclone & ACEX 1K FPGAs With Clock Data Recovery Mercury & Stratix GX CPLDs MAX 7000 & MAX 3000 Embedded Processor Solutions NiosTM, ExcaliburTM Configuration Devices EPC

9 APEX 20K Family Industry s first MultiCore Architecture Look-up table (LUT) logic Product-term logic Embedded memory Fabricated on SRAM Process 2.5-V, 0.25/0.22-Micron Process 1.8-V, 0.18-Micron Process

10 APEX MultiCore Architecture MultiCore Makes Million-Gate PLD Design Possible Facilitates Efficient IP Integration Look-up Table Core Product-Term Core Memory Core

11 Enhanced FastTrack Interconnect 4-Level FastTrack Interconnect Continuous Routing Fast, Predictable Timing

12 APEX 20K Device Features

13 The Stratix Device Family Process Density Feature Performance Embedded Memory Digital Signal Processing (DSP) Functionality Clock Management I/O Capabilities Description 0.13-µm Technology 10,570 to 114,140 Logic Elements (LEs) Average 40% Increase TriMatrix Memory Incorporating 3 Block Sizes for Maximum Bandwidth & Capacity Embedded DSP Blocks for Complex Arithmetic Functions Advanced System Clock Control for On- & Off-Chip Clock Needs 840-Mbps Differential I/O Signaling, High-Speed Interface Support, External Memory Interfaces & On-Chip Termination Technology

14 Stratix Architecture Overview Logic Array Blocks (LABs) Phase-Locked Loops (PLLs) DSP Blocks MegaRAM Blocks I/O Elements (IOEs) M512 RAM Blocks M4K RAM Blocks

15 Advanced I/O Capabilities Differential & Single- Ended I/O Standards LVDS, LVPECL, HyperTransport, PCML HSTL, SSTL PCI, PCI-X, Compact PCI PCI High-Speed Interface Protocols 10-Gigabit Ethernet XSBI POS-PHY Level 4 HyperTransport RapidIO (Parallel) External Memory Device Interfaces DDR SDRAM & SRAM SDR SDRAM QDR & QDRII SRAM ZBT SRAM DDR FCRAM Terminator Technology On-Chip Differential Termination On-Chip Series & Parallel Termination Driver Impedance Matching

16 TriMatrix Memory Structure

17 DirectDrive Technology Each Interconnect Line Driven by Single Source Consistent Access to Routing Eliminates Congestion Uniform Routing Resources Across Device Ensures Blocks Can be Moved within or between Designs

18 DSP Block

19 DSP Block Modes

20 The Stratix Device Family Device Logic Elements 32x18 M512 Blocks 128x36 M4K Blocks 4,096x144 MegaRAM Blocks Total RAM Bits DSP Blocks Sample Availability EP1S10 10, ,448 6 July EP1S20 18, ,669, Q3 EP1S25 25, ,944, June EP1S30 32, ,317, Q4 EP1S40 41, ,423, Q3 EP1S60 57, ,215, Q4 EP1S80 79, ,427, July EP1S ,140 1, ,118,

21 Package Offerings & User I/O Device 672-Pin BGA Wire-Bond 1.27 mm 35 x 35 mm 956-Pin BGA Flip-Chip 1.27 mm 40 x 40 mm 672-Pin FBGA Wire-Bond 1.0 mm 27 x 27 mm 780-Pin FBGA Flip-Chip 1.0 mm 29 x 29 mm 1020-Pin FBGA Flip-Chip 1.0 mm 33 x 33 mm 1508-Pin FBGA Flip-Chip 1.0 mm 40 x 40 mm 1923-Pin FBGA Flip-Chip 1.0 mm 45 x 45 mm EP1S EP1S EP1S EP1S EP1S EP1S ,018 EP1S ,199 1,234 EP1S120 1,310 Vertical Migration Supported

22 Nios Flexibility & Scalability Network Processor System High-Performance Low-Cost Custom DSP Embedded Processor DSP Excalibur ARM922T + Memory ESB ESB ESB ESB ESB ESB ESB ESB 75K Gates Available 150K Gates Available ESB ESB ESB ESB ACEX EP1K100 APEX EP20K200E 500K Gates Available Excalibur EPXA10

23 Nios System Architecture Nios CPU On-Chip Debug Core Off-Chip Software Trace Memory Instr. Data Address Decoder Interrupt Controller Wait State Generation Data in Multiplexer Master Arbitration Avalon Master/ Slave Port Interfaces UART 0 Timer 0 SPI 0 GPIO 0 DMA 0 Memory Interface UART n Timer n SPI n GPIO n DMA n Dynamic Bus Sizing Avalon Bus Module User-Defined Interface

24 ARM-Based Excalibur Embedded Processor 200-MHz ARM922T Processor Up to 3.3 Mbits of Memory Up to 1M Gates of Programmable Logic Dual-Port RAM Single-Port RAM PLD Area for Customer Design ARM922T Core

25 Embedded Processor PLD Architecture JTAG PLL Timer UART Watchdog Timer External Memory Interfaces Processor & Interfaces I-CACHE D-CACHE Interrupt Controller ARM 8 Kbytes 8 Kbytes Trace Module ARM922T SRAM SRAM SRAM DPRAM DPRAM DPRAM Embedded Stripe EPXA1 LEs 4,160 ESB (Bytes) 6.5K 32 Kbytes SRAM 16 Kbytes DPRAM PLD LEs 16,400 ESB (Bytes) 26K EPXA4 128 Kbytes SRAM 64 Kbytes DPRAM LEs 38,400 ESB (Bytes) 40K EPXA Kbytes SRAM 128 Kbytes DPRAM

26 Introduction to Xilinx Product FPGA : Spartan/XL, Spartan II,Spartan-IIE, Virtex, VirtexE,Virtex II,Virtex-II Pro CPLD : XC9500/XL, CoolRunner Software :Foundation4.2i, ISE Alliance4.2i, ISE 4.2 Core : IP, LogiCore, Alliance Core Technical Support : support.xilinx.com, FAEs

27 Xilinx has a solution for every design Density (gates) ASICs 200K 10M High end FPGAs <200K Spartan Mid <5K CPLD Low Serves Density, Performance, Cores & Memory PAL Designs

28 Spartan-II Architecture Delay Lock Loop (DLL) Configurable Logic Block (CLB) Clock management Multiply clock Divide clock De-skew clock Block Memory True Dual-Port TM 4K bit RAM 4Kx1 2Kx2 1Kx4 512x8 256x16 CL DLL I O B I O B CL DLL R A M... R A M IOB CLB CLB IOB IOB I/O Routing Ring CLB... CLB I/O Routing Ring IOB R A M R A M DLL DLL CL I O B I O B CL Logic and Distributed RAM SelectI/O TM Technology Chip to Backplane PCI 33MHz 3.3V PCI 33MHz 5.0V PCI 66MHz 3.3V GTL, GTL+, AGP Chip to Memory HSTL-I, HSTL-III HSTL-IV SSTL3-I, SSTL3-II SSTL2-I, SSTL2-II CTT Chip to Chip LVTTL, LVCMOS

29 Simplified CLB Structure

30 3 Level Memory Hierarchy

31 Virtex-II Pro Platform FPGA Gbps Multi-Gigabit Transceivers (MGTs) Supports 10 Gbps standards Up to 24 per device PowerPC 405 Core 300+ MHz / 450+ DMIPS Performance Up to 4 per device MGT MGT MGT MGT Fabric IP-Immersion Fabric ActiveInterconnect 18Kb Dual-Port RAM Xtreme Multipliers 16 Global Clock Domains

32 PowerPC 405 Processor Local Bus (PLB) I-Side On-Chip Memory (OCM) I-Cache (16KB) D-Cache (16KB) MMU (64 Entry TLB) Fetch & Decode Execution Unit (32x32 GPR, ALU, MAC) Timers and Debug Logic JTAG Instruction Trace 5-stage data path pipeline 16KB D and I Caches Embedded Memory Management Unit Execution Unit Multiply / divide unit 32 x 32-bit GPR Dedicated on-chip memory interfaces Timers: PIT, FIT, Watchdog Debug and trace support Performance: 450 DMIPS at 300 MHz 0.9mW/MHz Typical Power D-Side On-Chip Memory (OCM)

33 Virtex -II Family 12 Devices, 10 Packages, 37 combinations Virtex-II XC2V XC2V XC2V XC2V XC2V XC2V XC2V XC2V XC2V XC2V XC2V XC2V Part Number LUTs + FFs 512 1,024 3,072 6,144 10,240 15,360 21,504 28,672 46,080 67,584 93, ,880 BRAM (Kb) ,008 1,728 2,160 2,592 1,024 3,456 Multipliers DCM Units CS FG FG FG FF FF FF ,104 1,108 1,108 BG BG BF

34 XC9500XL Overview Superset of XC9500 CPLD Optimized for 3.3V systems compatible levels with 5.0/2.5V High f MAX = 200 MHz Fast t PD = 4 nsec Best ISP/JTAG support Best pin-locking Advanced packaging

35 Technology Optimized for high speed 3.3V systems Leading-edge FLASH technology 0.35um feature-size (0.25um Leff) 4 layers of metal Superior reliability Reprogramming endurance = 10,000 Charge retention = 20 years Fast programming characteristics

36 High Level Architecture

37 XC9500XL Family 9536XL 9572XL 95144XL 95288XL Macrocells Usable Gates t PD (ns) f MAX (MHz) Packages 44PC 44PC QFP 64VQ 64VQ 100TQ 100TQ 144TQ 144TQ 208PQ CSP/BGA 48CS 48CS 144CS 352BG

38 Higher Density Enables New Applications Spartan-IIE 250K System Gates 30K FIFOs PALs HDLC UARTs 32-bit, 33-MHz PCI Spartan-XL 40K PCI- MIPS Bridge 64 Bit PCI Spartan-II 100K ATM Reed IMA Solomon Encoder Ethernet MAC Video Line Buffer 250k unit Cable Modem Graphics Card Office Networking Set-Top Box Embedded µpapps

39 Spartan-II Family Overview Device XC2S15 XC2S30 XC2S50 XC2S100 XC2S150 XC2S200 Logic Cells Block RAM Bits 16,384 24,576 32,768 40,960 49,152 57,344 Block RAM Qty Max. User I/Os Package VQ100 VQ100 CS144 CS144 TQ144 TQ144 TQ144 TQ144 PQ208 PQ208 PQ208 PQ208 PQ208 FG256 FG256 FG256 FG256 FG456 FG456 FG456

40 FPGA Device FPGA Design Flow & Synthesis DIP Rapid Prototyping Platform DIP Prototype System Measurement Design Example

41 FPGA Design Flow and Synthesis Specification System-Level Sim SystemC Model C/C++ Matlab / Simulink Device Selection Xilinx Altera Design Entry CoreGen LogiBox IP Verilog/VHDL Schematic FSM Verilog/VHDL/AHDL Schematic Waveform LPM MegaCore Design Entry Function Sim Simulation Model & TestBench Cadence Verilog-XL Synopsys VCSi Debussy ModelSim Synthesis Synthesis Constraints FPGA Compiler II FPGA Express Synplify LeonardoSpectrum FPGA Express FAE Synplify Synthesis Constraints Synthesis

42 FPGA Design Flow and Synthesis P&R P&R Constraints, Floorplaning Placement & Routing Back Annotate Routing Delay Fitting Back Annotate Routing Delay Fitting Constraints Fitting Timing Sim Timing Model & TestBench Cadence Verilog-XL Debussy Synopsys VCSi ModelSim Prototyping Third Party Prototyping Prototyping Development Programming & Debug Hardware Debugger Programmer Programming & Debug JTAG Programmer ChipScope ILA SignalTap

43 Xilinx ISE

44 ISE Design Flows

45 Project Navigator

46 Creating New Projects

47 Adding Source Files

48 Creating HDL Source

49 HDL Wizard

50 HDL Wizard Confirm information

51 Setting Implementation Option

52 Setting Synthesis Options

53 Accessing Advanced Options

54 Initiating a Design Flow

55 Accessing Reports

56 Static Timing Report

57 Proactive Timing Closure

58 Xilinx Synthesis Technology

59 HDL Bencher

60 HDL In, Test Bench Out

61 Simulating with Testbench Waveforms

62 Simulating with Testbench Waveforms

63 ModelSim HDL Simulator

64 Using I/O Buffers

65 Configuration Download

66 Altera Quartus II

67 Design Methodologies Quartus supports three common design methodologies: Top-down Create a top-level of the design first, and then break down the design into lower-level design blocks. Bottom-up Begin by creating the lower-level design blocks first and then stitch together the design at the top-level. Middle-out Start in-between Top-down and Bottom-up design methodologies

68 PLD Design Flow(1)

69 PLD Design Flow(2)

70 Design Entry Multiple design entry methods Quartus Block/Schematic Editor Text Editor AHDL, VHDL, Verilog Memory Editor Hex, Mif Third party EDA tools EDIF HDL VQM Add flexibility and optimization to the design entry process by: Mixing and matching design files Using LPM and Megafunctions to accelerate design entry

71 Design Entry Files Quartus Block Editor Quartus Text Editor Quartus Memory Editor Verilog VHDL AHDL Schematic MegaWizard Manager Top- Level File Top-level design files can be.bdf,.tdf,.vhd,.vhdl,.v,.vlg,.edif or.edf Exemplar, Synopsys, Synplicity, etc... Schematic.bdf.gdf.bsf.tdf.vhd.v.edf.edif.v,.vlg,.vhd,.vhdl, vqm Block File Symbol File Text File Text File Text File Text File Text File Generated within Quartus Imported from third-party EDA tools

72 Main Toolbar and Modes

73 New Project Wizard What is the working directory for this project What is the name of this project What is the name of the top-level design entitiy in your project

74 New Project Wizard

75 Set Chips & Devices Device Select

76 Set User Libraries

77 Compiler Click this button to execute compiler Show the section of compiler summary When compilation was successful will appear this message Compiler Message

78 Timing Analysis Features Quartus II has built in static timing analysis Single clock timing analysis fmax (maximum clock frequency) Tsu, Th, Tco (setup time, hold time, clock-to-out time) Optional system fmax reporting Multi-clock analysis Allows analysis of multiple synchronous clocks Slack analysis is used

79 Timing Analysis

80 fmax The worst fmax is listed on the top. Select fmax

81 Locate Delay Path in Floorplan

82 Download Click this button to open programmer Make sure Type is ByteBlasterMV Make sure is JTAG Select.sof file to programmer

83 Download When it show 100% meaning download finished Enable this field

84 FPGA Device FPGA Design Flow & Synthesis DIP Rapid Prototyping Platform DIP Prototype System Measurement Design Example

85 IP Rapid Prototype Platform Altera Demo Board Xilinx Demo Board FPGA+µp Demo Board Application Specific Demo Board ARM SoC Development Platform

86 Nios Board (Altera) PIO_buttons Flash Configuration Controller SRAM seven_segment JTAG Header uart

87 EPXA10 Development Board (Altera) POWER BOOT_FLASH JP40 RS 232 Flash Mem UART JTAG Ethernet PCI Connector

88 EPXA10 Development Board Features Platform for Device Evaluation & Application Development Memory Support 1. SDR SDRAM: 256 Mbytes 2. Flash: 16 Mbytes Flexible Clocking 50-MHz Embedded Stripe Clock External Clock Generator Can Be Used Dedicated Stripe PLLs for Frequency Synthesis PLD Clocks Dedicated Crystals for Each of Four PLD PLLs Application Support Two UARTs ByteBlasterMV JTAG Connector Multi-ICE & Trace Port Connectors Ethernet PHY

89 EPXA10 Development Board Features PCI Two 3.3-V 33-MHz PCI Connectors Provided for Off-the-Shelf Applications User Interface 1. Eight LEDs 2. Four Push-Buttons 3. Nine-Position DIP Switch Power Supply 3.3-Volt DC Supply 1.8-V Generated 1.25-V Generated for V REF & V TT ATX Power Supply Required for PCI Same Voltage Regulation as 3.3-V DC Supply

90 Altera IP Development Kits APEX DSP Development Kit APLEX 20KE PCI Development Kit SOPC Development Board DIGILAB 10K*240 Development Board PROC20K Prototyping Board Bluetooth Prototype Board Constellation 20K Prototype Board PCISYS Data Acquistition and Processing PCI Board Megalogic 2A15 Development Board XT1000 Device Emulation Kit

91 MicroBlaze Kits with Boards (Xilinx)

92 MicroBlaze IP Peripherals Development Kit MicroBlaze CPU OPB Arbiter Watchdog Timer/Timebase Timer/Counter Block Interrupt Controller SRAM Controller Flash Memory Controller ZBT Memory Controller BRAM UART Lite GPIO SPI Master and Slave Additional Peripherals UART UART IIC Master & Slave Ethernet 10/100 MAC Future Peripherals ATM Utopia Level 2 SDRAM DDR

93 The FPGA + µp Demo Board DRAM Module µp 8051 or AVR A/D, D/A Module SRAM Module

94 The Hardware Module of FPGA+µp Demo Board 8051 or AVR

95 Application Specific Demo Board (Natl. Yunlin Univ.) ADC IC Image Sensor Input Power LED FPGA I/O Pin DIP Sw. UART JTAG FPGA I/O Pin E 2 PROM FPGA I/O Pin

96 ARM SoC Development Platform ARM Integrator ARM 720T Core module AP ASIC Development Platform Xilinx XCV2000E Logic module

97 ARM Integrator/AP System controller (FPGA) Clock generator Flash Memory(32MB) Boot Rom SRAM(512k) System expansion(cm,lm) PCI Interface, EBI Interface FPGA

98 System Architecture Peripheral input/output Core Module connectors Logic Module connectors HDR EXP System bus GPIO System controller FPGA PCI Host Bridge Standard PCI Slots PCI PCI bridge Flash SRAM Boot ROM EBI CompactPCI

99 System controller FPGA System Extrnal PCI bridge bus System bus (AHB) PCI bridge PCI Host Bridge System bus Local bus controller Interface Interface Arbiter Static memory controller External Bus Interface Flash,SSRAM and ROM I AHB/APB bridge Peripheral bus (APB) Counter/ timers Real time clock GPIO UART *2 KMI LED/ switch Interrupt controller status and Control register Peripheral input/output Interrupts System resources

100 Core Module(CM720T) 256KB to 1MB Synchronous SRAM SDRAM DIMM socket (up to 256MB) AMBA system bus interface to platform board Clock generators Reset controller JTAG interface to Multi-ICE ARM FPGA SDRAM (DIMM)

101 CM System Architecture SSRAM Clock generator Status / Control register Reset controller Memory bus SSRAM Controller (PLD) ARM core System bus bridge SDRAM controller FPGA SDRAM System bus Multi-ICE System bus connectors HDRA/HDRB

102 Logic Module(LM-XCV600E+) 1MB ZBT SRAM 9 General-purpose LEDs 8 General-purpose switches Clock generators Push button FPGA programming via Multi-ICE (JTAG) Flash-1 Flash-0 XCV2000E

103 LM System Architecture ZBT SSRAM OSC1 OSC2 Multi-ICE Trace Module/motherboard connectors FPGA Interface module connector LA connector Push button Switches LEDs Prototyping grid

104 System Architecture Core Module SSRAM Status/ Control register SDRAM ASB/PCI Bridge PCI Slot PCI Slot PCI Slot PCI/CPCI Bridge SSRAM Cntl ARM720T Sys Bus Bridge SDRAM Cntl AHB Arbiter AHB Bus Static memory cntl AHB/APB Bridge ROM Flash(32MB) SSRAM(512k) RTC UART AP Module Status/ control register Logic Module FLASH SSRAM FPGA APB Bus Counter/ Timers Keypad controller Interrupt Controller

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

chapt01.ppt

chapt01.ppt 2004.2.16 PC Laptop Mainframe Server (PDA) MP3 MP3 : : :, 225 ; 35 50 --- 94% ; Intel Pentium, Motorola PowerPC, etc. 6% ,,, (RTOS) Minimally Requirement for an Embedded System NMI Address Bus Microprocessor

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

dvance technologies; Automate the world. 2004/08 Tone between people Speaking, Fax, Voice message, Dial tone, Ring back tone Tone between peoples Group meeting, Record, On-line translation Tone between

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

Intel® Core2™ i7 Processor

Intel® Core2™ i7 Processor Intel CPU 的 演 進 及 Core2 i7/i5/i3 處 理 器 架 構 之 探 討 報 告 人 : 資 訊 工 程 系 俞 朝 福 中 華 民 國 九 十 九 年 三 月 三 十 一 日 1 PART I Intel 處 理 器 的 演 進 1971~2010 走 過 處 理 器 40 年 2 Intel CPU Pre-x86 4004-- 全 球 第 一 款 微 處 理 器, 於

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

工程师培训

工程师培训 .1 TCP/IP TCP/IP 1 .2.2.1 Host 1960 S 1970 S Host Low Speed Lines 1970 S 1980 S pc Server Local Interneting 1980 S 1990 S Branch. pc Branch. WAN Branch. pc pc IBM SNA IBM X.25 2 .2.2 OSI OSI Application

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

****************************************************** Fundamentals of TV Tracking ****************************************************** ( ),,, :,,,,,, 1998 9 ( ISBN 7-118-01911-9),,, 1999 5 20 Email:

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

ebook20-6

ebook20-6 6 Catalyst 5000 Catalyst 5000 C i s c o ATM OC-3 ATM OC-12 6.1 Catalyst 5000 (supervisor engine) S N M P Catalyst 5000 C i s c o 3 3 25 MHz Motorola 68EC040 100 M b / s R J - 45 C L I M I I M I I 100Mb

More information

Hi3518EV200 HD IP Camera SoC Hi3516A

Hi3518EV200 HD IP Camera SoC Hi3516A Hi3518EV200 HD IP Camera SoC Hi3516A - - - - www.lestina.com www.lestina.com.cn 59 60 www.lestina.com www.lestina.com.cn Hi3516CV200 HD IP Camera SoC www.lestina.com www.lestina.com.cn 61 62 www.lestina.com

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps PD LXT Mbps 100Mbps 100Mbps 1

100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps PD LXT Mbps 100Mbps 100Mbps 1 1 3 6 6 7 100BASE-FX 10 100Mbps 13 13 21143-PD 14 LXT970 20 Serial ROM 24 Boot ROM 24 Agilent 25 100Mbps 27 27 37 100Mbps 45 50 54 55 100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps 21143-PD

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information