93C46串行EEPROM数据读写

Size: px
Start display at page:

Download "93C46串行EEPROM数据读写"

Transcription

1 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG 接 VCC 时作 64 字使用 当 ORG 接地时作 128 字节使用 本实验单片机 P3 口的低 4 位是 93C46 的控制线和数据线 CS 是片选端, 高电平有效 SK 是移位脉冲输入端 D1 是数据输入端 D0 是数据位输出端 ORG 接 5V,93C46 作 64 个字使用 如图 :93C46 指令表 起始位操作码 地址 数指 字节字字节字 说明 RWEN XXXX 读 / 写允许 RWDS XXXX 读 / 写禁止 ERAL XXXX 擦写指令 WRAL XXXX D7~D0 D15~D0 同一数据写片 WRITE 1 01 A6~A0 A5~A0 D7~D0 D15~D0 写指定单元 READ 1 10 A6~A0 A5~A0 读指定单元 ERASE 1 11 A6~A0 A5~A0 擦除指定单元 本实验提供 93C46 的读写操作软件, 有 5 条主要的命令 :READ WRITE WRAL ERASE 和 ERAL 其中 EWEN( 写允许 ) 和 EWDS( 写禁止 ) 操作命令已经包含在写入命令和擦除命令 当中了 程序中寄存器 R7 用来指定 93C46 的地址,R6 R5 分别用来存放待操作数据的高低 字节 对主程序操作只要在调用子程序之前把相应的内容写进 R7 R6 R5 中就可以了 三 实验内容及步骤 1 使用 80C51 单片机最小应用系统和 93C46 串行接口模块, 用扁平数据线连接单片机 P0 口与八位逻辑电平显示模块, 用导线连接 P1.0 到 CS,P1.1 到 SK,P1.2 到 DI,P1.3 到 D0,ORG 与 +5V 电源相接 2 用串行数据通信线连接计算机与仿真器, 把仿真器插到模块的锁紧插座中, 请注意 仿真器的方向 : 缺口朝上 3 打开 Keil uvision2 仿真软件, 首先建立本实验的项目文件, 接着添加 93C46.ASM

2 源程序, 进行编译, 直到编译无误 按程序要求设置断点 4 进行软件设置, 选择硬件仿真, 选择串行口, 设置波特率为 打开模块电源和总电源, 点击开始调试按钮, 点击 RUN 按钮运行程序 查看寄存器 R7 R6 R5 的值, 查看发光管显示情况 6 按程序要求设置下一个断点, 继续上一步骤 验证 93C46 可读可写 7 93C46 先写入数据, 断电一段时间后, 再读出数据, 查看是否与写入值一致 四 93C46 的流程图及源程序 1. 源程序 CS_93C46 BIT P1.0 SK_93C46 BIT P1.1 DI_93C46 BIT P1.2 DO_93C46 BIT P1.3 BIT_CNT EQU 10H ; 移位次数 BIT_SEND EQU 11H ; 发送 Bit 数 DATSEND EQU 12H ; 待发送数据缓存 ORG 0000H LJMP MAIN ORG 0030H MAIN: LCALL ERAL ; 把芯片的内容全部擦除 MOV R7,#10H ; 读进 10H 的内容查看 LCALL READ MOV A,R5 MOV P0,A ; 显示 R5 的值 MOV P2,A ; 显示 R6 的值 ; 在这里设置断点, 查看读入内容应为 R6=0FFH 和 R5=0FFH ;SJMP $ MOV R7,#10H ; 把 1234H 写到 10H 中 MOV R6,#12H MOV R5,#34H LCALL WRITE MOV R6,#00H MOV R5,#00H ; 改变 R5 R6 的值, 以变和后面比较 MOV R7,#10H ; 读进 10H 的内容查看 LCALL READ MOV A,R5 MOV P0,A ; 显示 R5 的值 MOV P2,A ; 显示 R6 的值

3 ;SJMP $ ; 在这里设置断点, 查看读入内容应为 R6=12H 和 R5=34H MOV R7,#10H ; 把 10H 内容擦除 LCALL ERASE MOV R7,#10H ; 读进 10H 的内容查看 LCALL READ MOV A,R5 MOV P0,A ; 显示 R5 的值 MOV P2,A ; 显示 R6 的值 ; 在这里设置断点, 查看读入内容应为 R6=0FFH 和 R5=0FFH ;SJMP $ MOV R6,#56H ; 把 5678H 写到全部内存中 MOV R5,#78H LCALL WRAL MOV R6,#00H MOV R5,#00H ; 改变 R5 R6 的值, 以变和后面比较 MOV R7,#10H ; 读进 10H 的内容查看 LCALL READ MOV A,R5 MOV P0,A ; 显示 R5 的值 MOV P2,A ; 显示 R6 的值 ; 在这里设置断点, 查看读入内容应为 R6=12H 和 R5=34H SJMP $ ; 名称 : 读指令 (READ 指令 ) ; 功能 : 把 93C46 中 R7 所指向的内存的资料读到 R6(H),R5(L) READ: MOV DATSEND,#06H ; 发送读操作指令 MOV DATSEND,R7 ; 发送写入地址 SETB DO_93C46 ; 准备读入资料 MOV BIT_CNT,#16 READ1: SETB SK_93C46 ; 产生一个时钟信号 SETB SK_93C46

4 MOV C,DO_93C46 ; 读取 DO 的信息 MOV A,R5 ; 移位保存 RLC A MOV R5,A RLC A MOV R6,A DJNZ BIT_CNT,READ1 ; 名称 : 写指令 (WRITE 指令 ) ; 功能 : 把 R6(H),R5(L) 的资料写到 93C46 中 R7 所指向的内存中 WRITE: LCALL WEN_93C46 MOV DATSEND,#05H ; 发送写入操作指令 MOV DATSEND,R7 ; 发送写入地址 MOV DATSEND,R6 ; 发送写入资料 MOV BIT_SEND,#08H MOV DATSEND,R5 MOV BIT_SEND,#08H LCALL WDS_93C46 ; 名称 : 写全部 (WRAL 指令 ) ; 功能 : 把 R6(H),R5(L) 的资料写到 93C46 的全部内存中 WRAL: LCALL WEN_93C46 MOV DATSEND,#11H ; 发送写全部操作指令 MOV BIT_SEND,#05H

5 MOV DATSEND,#00H MOV BIT_SEND,#04H MOV DATSEND,R6 ; 发送写全部资料 ( 高位 ) MOV BIT_SEND,#08H MOV DATSEND,R5 ; 发送写全部资料 ( 低位 ) MOV BIT_SEND,#08H LCALL WDS_93C46 ; 名称 :START_93C46 ; 功能 : 片选 93C46 做好读 / 写资料的准备 START_93C46: SETB DO_93C46 SETB DI_93C46 SETB CS_93C46 JNB DO_93C46,$ ; 查询器件是否繁忙 SETB SK_93C46 CLR CS_93C46 CLR DI_93C46 SETB CS_93C46 ; 名称 :STOP_93C46 ; 功能 : 停止对 93C46 操作 STOP_93C46: CLR DI_93C46 CLR CS_93C46 SETB DO_93C46 ; 名称 :WEN_93C46(EWEN 指令 )

6 ; 功能 :93C46 写允许 WEN_93C46: MOV DATSEND,#04H ; 发送开始位和写允许操作码, 共 3 位 ; 调用发送子程序 MOV DATSEND,#30H ; 发送 6 位的指令操作码 ; 名称 :WDS_93C46(EWDS 指令 ) ; 功能 :93C46 写禁止 WDS_93C46: MOV DATSEND,#04H ; 发送开始位和写禁止操作码, 共 3 位 ; 调用发送子程序 MOV DATSEND,#00H ; 发送 6 位的指令操作码 ; 名称 :ERASE(ERASE 指令 ) ; 功能 : 擦除 93C46 中 R7 所指向的地址的内容 ERASE: LCALL WEN_93C46 MOV DATSEND,#07H ; 发送擦除指令 MOV DATSEND,R7 LCALL WDS_93C46

7 ; 名称 :ERAL(ERAL 指令 ) ; 功能 : 擦除 93C46 所有内容 ERAL: LCALL WEN_93C46 MOV DATSEND,#04H ; 发送全部擦除指令 MOV DATSEND,#20H LCALL WDS_93C46 ; 名称 :SEND_DATA ; 功能 : 资料发送子程序, 把待发送资料 DATSEND 的低 BIT_SEND 位送到 DI 上 SEND_DATA: ; 因为为了使程序容易读懂, 前面把要发送的内容 ; 直接送给 DATSEND, 而现在就要把 DATSEND 中无 ; 用的位去掉, 把要发送的内容移到最高位来 MOV BIT_CNT,BIT_SEND MOV A,#08H ; 计算要移位的个数 CLR C SUBB A,BIT_CNT MOV BIT_CNT,A JZ SEND_DATA2 ; 如果发送的位数为 8 位, 则不用移位, 跳转 MOV A,DATSEND ; 把要送出资料的移到 DATSEND 的最高位 SEND_DATA1: RL A DJNZ BIT_CNT,SEND_DATA1 JMP SEND_DATA3 SEND_DATA2: MOV A,DATSEND ; 把要送出的 8 位资料 DATSEND 传给 A SEND_DATA3: MOV BIT_CNT,BIT_SEND SEND_DATA4: RLC A ; 移位元送出 BIT_SEND 位资料 JC SEND_DATA5 CLR DI_93C46 ; 发送 0 码 JMP SEND_DATA6

8 SEND_DATA5: SETB DI_93C46 ; 发送 1 码 SEND_DATA6: SETB SK_93C46 ; 产生移位元时钟信号 DJNZ BIT_CNT,SEND_DATA4 END 五 思考题 1. 改变硬件连线修改程序, 把 93C46 改为以字节形式排列, 存放数据 2. 当应用电路与此电路不同时只需更改软件包里引脚的定义就可以了 六 实验电路 CS SK DI DO P10 P11 P12 P13 P14 P15 P16 P17 INT1 INT0 T1 T0 EA/VP X1 X2 RESET RD WR 8051 P00 P01 P02 P03 P04 P05 P06 P07 P20 P21 P22 P23 P24 P25 P26 P27 RXD TXD ALE/P PSEN CS VCC SK DC DI ORG DO GND 93C46 VCC 0.1uF CAP GND

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

,310,022, ,382,044, % 1,270,602, ,316,653, % % % 19,720,

,310,022, ,382,044, % 1,270,602, ,316,653, % % % 19,720, 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 000520 39 39 027-85321845 85703197 027-85321845 85703197 027-85321845 027-85321845 csc-hy@tom.com csc-hy@tom.com 2.2 2006 2.2.1 3,310,022,385.31 1,382,044,309.28 139.50%

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

1 目 录 建 达 北 京 爱 丽 舍 花 园 地 产 基 金... 0 特 别 提 示... 2 第 一 章. 基 金 介 绍... 3 一 基 金 概 要... 3 二 产 品 优 势... 4 三 风 控 措 施... 6 四 交 易 结 构... 6 第 二 章. 投 资 项 目 介 绍..

1 目 录 建 达 北 京 爱 丽 舍 花 园 地 产 基 金... 0 特 别 提 示... 2 第 一 章. 基 金 介 绍... 3 一 基 金 概 要... 3 二 产 品 优 势... 4 三 风 控 措 施... 6 四 交 易 结 构... 6 第 二 章. 投 资 项 目 介 绍.. 建 达 北 京 爱 丽 舍 花 园 地 产 基 金 产 品 说 明 书 中 国 北 京 基 金 管 理 人 : 北 京 建 达 投 资 基 金 管 理 有 限 公 司 1 目 录 建 达 北 京 爱 丽 舍 花 园 地 产 基 金... 0 特 别 提 示... 2 第 一 章. 基 金 介 绍... 3 一 基 金 概 要... 3 二 产 品 优 势... 4 三 风 控 措 施... 6 四

More information

附件1-1

附件1-1 學 校 名 稱 : 臺 中 市 南 屯 區 黎 明 國 民 小 學 方 案 名 稱 : 學 習 的 源 頭 活 水 ~ 圖 書 教 師 計 畫 壹 前 言 ~ 黎 明 教 閱 讀 半 畝 方 塘 一 鑑 開 天 光 雲 影 共 徘 徊 問 渠 哪 得 清 如 許 為 有 源 頭 活 水 來 宋 朝 朱 熹 < 觀 書 有 感 > 本 校 自 99 學 年 度 起 連 續 申 請 教 育 部 試 辦

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

1,000/ % 15 10 5 1 18.8 1 16.3 1 11.4 3 10.3 6 13.9 2 3.0 13-11.8 14 27.4 3 13.6 3 11.8 3-1.3 12 0.5 14 11.9 1 9.2 8 6.8 7 29.1 2 10.3 5 9.7 6 4.0 9 39.8 1 9.2 6 10.2 5 8.5

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

内部明电

内部明电 2018 2019 4 2018 8 31 4 2018 2018 44 2018 4 63945 56800 2019 6 2 2018 2018 44 2018 2019 120400 56455 4 63945 63945 56800 3 4 105 3154 2653 5444 4864 7678 6932 3784 3313 674 166 964 684 3208 3101 7849 6335

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

粤社保函〔2013〕80号

粤社保函〔2013〕80号 맣 뚫 쪡 짧 믡 놣 쿕 믹 뷰 맜 샭 뻖 粤 社 保 函 2016 120 号 맘폚뾪햹2016쓪뛈쪡횱웳튵횰릤믹놾퇸샏뷰 쇬좡룱죏횤폐맘쫂쿮뗄춨횪 参 加 省 直 企 业 职 工 社 会 保 险 各 单 位 和 离 退 休 人 员, 社 会 申 办 退 休 人 员 : 根 据 国 家 和 省 的 有 关 规 定, 省 社 保 局 从 2016 年 4 月 1 日 起, 开 展 2016 年

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

一、研究動機

一、研究動機 中華民國第四十六屆中小學科學展覽會作品說明書 高職組電子 電機及資訊科 第二名 最佳創意獎 091006 智慧型汽車後視鏡 學校名稱 : 國立屏東高級工業職業學校 作者 : 職二楊上玄 指導老師 : 童信源 職二黃龍聖 職二林冠樺 職二李嘉清 關鍵詞 : 後視鏡 汽車 單晶片 車 不 車 車 路 來 利 輪 輪 利 來 車 車 車 來 行車 來 車 行 度 度 來 車 易 度 車 度 車 行車...

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

《佛子行三十七颂》讲记1

《佛子行三十七颂》讲记1 佛 子 行 三 十 七 颂 讲 记 1 达 真 堪 布 光 明 大 圆 满 法 坛 城 为 修 持 成 佛 要 发 殊 胜 菩 提 心! 为 度 化 一 切 父 母 众 生 要 发 誓 修 持 成 佛! 为 早 日 圆 成 佛 道 要 精 进 认 真 闻 思 修 行! 今 天 在 这 里 给 大 家 简 单 地 开 示 一 下 佛 子 行 三 十 七 颂 佛 子 行 三 十 七 颂 是 土 美 仁

More information

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 大 恩 上 师 慈 成 加 参 仁 波 切 目 录 修 法 仪 轨 3 16 24 课 前 念 诵 正 修 上 师 瑜 伽 念 诵 课 后 回 向 为 何 修 持 35 36 38 39 42 上 师 的 含 义 上 师 对 寻 求 解 脱 者 的 重 要 性 谨 慎 选 择 上 师 具 德 上 师 应 具 备 的 条 件

More information

(给多有拉姆)佛子行三十七颂1——7

(给多有拉姆)佛子行三十七颂1——7 胜 利 道 歌 天 鼓 妙 音 法 王 如 意 宝 晋 美 彭 措 造 颂 怙 主 诸 佛 智 慧 身, 文 殊 师 利 童 子 尊, 恒 住 八 瓣 莲 蕊 心, 所 言 愿 利 诸 有 情 甚 深 光 明 大 圆 满, 仅 闻 词 句 断 有 根, 六 月 修 要 得 解 脱, 唯 此 铭 刻 于 心 中 遇 此 胜 法 善 缘 众, 前 世 累 劫 积 资 果, 与 普 贤 王 同 缘 分,

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

前 言 为深入贯彻落实2012年中央1号文件精神 推进 农业科技促进年 活动 激励扎根农村基层 为农 业科教兴村作出杰出贡献的带头人 农业部于2012年 启动了百名农业科教兴村杰出带头人资助项目 该项 目是江苏华西集团公司捐赠500万元在中华农业科教基 金会设立 华西仁宝基金 开展的专项资助活动 资 助项目计划三年面向全国资助100名农业科教兴村杰出 带头人 每人资助5万元 按照项目申报和评审工作要求

More information

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 1 序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 积 累 了 许 多 独 特 的 光 彩 与 体 验 2001 年 我 在 中 国 青 少 年 发

More information

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵 中 国 药 典 2015 年 版 目 录 一 部 药 材 和 饮 片 1 一 枝 黄 花 2 丁 公 藤 3 丁 香 4 九 里 香 5 九 香 虫 6 人 工 牛 黄 7 人 参 8 人 参 叶 9 儿 茶 10 八 角 茴 香 11 刀 豆 12 三 七 13 三 白 草 14 三 棱 15 三 颗 针 16 千 年 健 17 千 里 光 18 千 金 子 19 千 金 子 霜 20 土 木 香

More information

43081.indb

43081.indb 163 56 52 55 45 56 64 62 45 61 195156200712 19751219901119974 1997420009 2000920026 20026 195552200712 19826 199261994819948 19991019991020009 2000920026 200262007122004 5 164 195255200712 2000919931220009

More information

123 5060 50 5060 19 5060 19

123 5060 50 5060 19 5060 19 122 201011 1975 1974 1976 123 5060 50 5060 19 5060 19 124 50 60 1976 L 19 5060 19741976 50 125 19 126 80 80 127 1975 21 1974 1974 50. 128 / / 50 129 130 L AA 131 70 132 1974 70 133 1980 2010 80 134 T 1980726

More information

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是 内 容 简 介 这 是 一 部 自 传 体 小 说, 也 是 一 本 向 年 轻 人 传 授 发 财 之 道 的 教 科 书 2005 年, 作 者 老 康 三 十 而 立, 带 着 老 婆, 拖 着 儿 子 ; 没 有 存 款, 没 有 房 子 ; 读 的 是 烂 学 校 破 专 业, 一 无 所 长 ; 毕 业 后 混 了 多 年, 稀 里 糊 涂, 不 幸 下 岗 ; 因 为 混 得 差, 朋

More information

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工 2 工 造 价 1 新 纪 元 广 场 房 屋 建 筑 40689 11000 中 机 环 建 集 团 有 限 公 叶 正 君 2 3 大 上 海 御 龙 湾 二 期 A 区 A2~A4 商 业 楼 A 区 地 下 车 库 万 科 蜀 冈 地 块 商 品 房 项 目 二 期 工 ( 万 科 花 园 )1#-8# 住 宅 楼 A16-2 地 下 车 库 工 幼 儿 园 13# 15# 18# 20#

More information

第一篇 建置区划

第一篇 建置区划 第 八 篇 金 融 保 险 1986 年 至 2003 年, 全 县 金 融 体 制 不 断 改 革, 金 融 体 系 逐 步 形 成, 各 金 融 机 构 运 转 正 常, 存 贷 款 余 额 增 长, 逐 步 扭 亏 为 盈 全 县 4 大 保 险 公 司 开 展 多 种 保 险 业 务, 保 费 收 入 增 长, 理 赔 规 范 及 时 第 一 章 金 融 1986 年 至 2003 年, 蒙

More information

untitled

untitled 33 3 17 No. 35 1 2 3 18 179 104 4 5 6 19 No. 35 7 8 20 9 21 No. 35 10 22 23 No. 35 24 11 AA 25 No. 35 12 26 13 2013 27 No. 35 14 28 29 No. 35 15 30 16 17 31 No. 35 18 32 19 20 33 No. 35 21 34 22 2016 1

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

0000001

0000001 烟 台 市 综 合 实 践 教 育 研 究 室 文 件 烟 教 实 研 发 2016 4 号 烟 台 市 综 合 实 践 教 育 研 究 室 关 于 公 布 第 二 届 全 市 中 小 学 综 合 实 践 活 动 优 课 及 优 质 课 程 资 源 评 选 获 奖 名 单 的 通 知 各 县 市 区 教 体 局 教 研 室, 开 发 区 综 合 实 践 教 育 中 心, 高 新 区 教 育 办 公

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

(譯本)

(譯本) 城 市 規 劃 委 員 會 都 會 規 劃 小 組 委 員 會 二 零 一 二 年 五 月 十 八 日 上 午 九 時 舉 行 的 第 466 次 會 議 記 錄 出 席 者 規 劃 署 署 長 梁 焯 輝 先 生 主 席 黄 仕 進 教 授 副 主 席 何 培 斌 教 授 許 智 文 教 授 劉 文 君 女 士 李 律 仁 先 生 陸 觀 豪 先 生 陳 祖 楹 女 士 張 孝 威 先 生 何 立

More information

上 海 农 商 银 行 理 财 产 品 风 险 揭 示 书 理 财 资 金, 则 客 户 面 临 产 品 期 限 延 期 调 整 等 风 险 8. 信 息 传 递 风 险 : 上 海 农 商 银 行 将 按 照 本 说 明 书 有 关 信 息 公 告 的 约 定, 进 行 产 品 信 息 披 露 客

上 海 农 商 银 行 理 财 产 品 风 险 揭 示 书 理 财 资 金, 则 客 户 面 临 产 品 期 限 延 期 调 整 等 风 险 8. 信 息 传 递 风 险 : 上 海 农 商 银 行 将 按 照 本 说 明 书 有 关 信 息 公 告 的 约 定, 进 行 产 品 信 息 披 露 客 上 海 农 商 银 行 理 财 产 品 风 险 揭 示 书 鑫 意 理 财 福 通 日 鑫 H14001 期 人 民 币 理 财 产 品 风 险 揭 示 书 尊 敬 的 客 户 : 由 于 理 财 资 金 管 理 运 用 过 程 中, 可 能 会 面 临 多 种 风 险 因 素, 因 此, 根 据 中 国 银 行 业 监 督 管 理 委 员 会 相 关 监 管 规 定 的 要 求, 在 您 选 择

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

Microsoft Word - RT-T19264B-SPEC.doc

Microsoft Word - RT-T19264B-SPEC.doc APPOVED SIGNAURES Blue Moon Customer 1 目 录 ( 一 ) 概述 ( 二 ) 外形尺寸 ( 三 ) 模块主要硬件构成说明 ( 四 ) 模块的外部接口 ( 五 ) 指令说明 ( 六 ) 读写操作时序 ( 七 ) 应用举例 ( 八 ) 附录 2 一 概述 1. 液晶显示模块是 192 64 点阵的汉字图形型液晶显示模块, 可显示汉字及图形, 内置 8192X2 个中文汉字

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

Microsoft Word - xiuxinduanyu-2-doc.doc

Microsoft Word - xiuxinduanyu-2-doc.doc 明 慧 专 题 文 章 汇 编 这 些 文 章 是 各 位 大 法 弟 子 作 者 在 各 自 在 修 炼 过 程 中 在 当 时 所 在 层 次 的 认 识 ; 我 们 收 集 成 册, 谨 供 不 经 常 访 问 明 慧 网 的 同 修 参 考 师 父 的 法 才 是 指 导 大 法 弟 子 修 炼 提 高 的 根 本, 广 泛 阅 读 明 慧 网 上 更 多 同 修 的 修 炼 交 流, 能

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). ( . (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). 1950 (A) (B) (C) (D) (E). 60 70 (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) (

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

02所有分支机构的营业场所和电话.xls

02所有分支机构的营业场所和电话.xls 分 支 机 构 名 称 分 支 机 构 地 址 邮 编 第 一 联 系 电 话 第 二 联 系 电 话 ( 统 一 总 机 ) 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 湖 南 分 公 司 湖 南 省 长 沙 市 劳 动 中 路 58 号 京 电 大 厦 3 至 6 楼 410007 0731-85601560 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 广 西 分 公 司

More information

的 城 镇 化 放 在 第 一 位 新 型 城 镇 化 在 未 来 一 段 时 间 首 先 是 调 整 人 口 结 构, 坚 决 抑 制 大 城 市 人 口 规 模, 发 展 城 市 群 城 市 卫 星 群 中 小 城 镇, 实 现 大 中 小 城 市 协 调 发 展 中 国 城 乡 建 设 经 济

的 城 镇 化 放 在 第 一 位 新 型 城 镇 化 在 未 来 一 段 时 间 首 先 是 调 整 人 口 结 构, 坚 决 抑 制 大 城 市 人 口 规 模, 发 展 城 市 群 城 市 卫 星 群 中 小 城 镇, 实 现 大 中 小 城 市 协 调 发 展 中 国 城 乡 建 设 经 济 财 政 部 组 织 开 展 县 级 基 本 财 力 保 障 机 制 和 解 决 地 方 重 大 突 出 问 题 财 力 补 助 资 金 专 项 检 查 为 进 一 步 落 实 党 中 央 国 务 院 关 于 健 全 县 级 基 本 财 力 保 障 机 制 的 要 求, 提 高 中 央 财 政 补 助 资 金 使 用 效 益, 近 日, 财 政 部 组 织 驻 北 京 河 北 等 13 个 省 ( 自

More information