CH432DS1.DOC

Size: px
Start display at page:

Download "CH432DS1.DOC"

Transcription

1 CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA 通讯等 下图为其一般应用框图 8 位并口 TXD/RTS/DTR 串口 0 MCU 单片机或 ISA 等并行总线 SPI 接口 INT# INT0 CH432Q 芯片 并口或 SPI RXD/CTS/DSR/RI/DCD TXD1/RTS1/DTR1 串口 1 INT1 RXD1/CTS1/DSR1/RI1/DCD1 SCS# TXD/RTS SCK 串口 0 MCU 单片机 SDI SDO CH432T 芯片 SPI RXD/CTS/RI TXD1/RTS1 INT# RXD1/CTS1 串口 1 2 特点 完全独立的两个异步串口, 兼容 16C450 16C550 及 16C552 并且有所增强 支持 或者 8 个数据位以及 1 或者 2 个停止位 支持奇 偶 无校验 空白 0 标志 1 等校验方式 可编程通讯波特率, 支持 bps 以及最高达 4Mbps 的通讯波特率 内置 16 字节的 FIFO 先进先出缓冲器, 支持 4 个 FIFO 触发级 支持 MODEM 调制解调器信号 CTS DSR RI DCD DTR RTS, 可由 芯片转成 RS232 电平 支持硬件流控制信号 CTS 和 RTS 自动握手和自动传输速率控制, 兼容 TL16C550C 支持串口帧错误检测 支持 Break 线路间隔检测 支持全双工和半双工串口通讯, 提供 RTS 串口发送状态信号支持 RS485 收发自动切换

2 CH432 中文手册 ( 一 ) 2 内置 SIR 红外线编解码器, 支持 2400bps 到 bps 波特率的 IrDA 红外通讯 内置时钟振荡器, 支持频率范围 MHz~32MHz 的晶体, 默认使用 MHz 晶体 可选两种单片机接口 :10MB 速度的 8 位被动并行接口和 3MB/24MHz 速度的 SPI 串行接口 并行接口包含 8 位数据总线,4 位地址,3 线控制 : 片选输入 写选通以及可选的读选通 SPI 串行接口包含 SPI 片选 串行时钟 串行输入和输出, 并且 SPI 输出与输入可以并联 中断输出引脚是可选连接, 低电平有效, 可以通过查询寄存器中的中断标志位代替 支持 5V 或者 3.3V 电源电压, 支持串口低功耗睡眠模式 提供 QFP-44 和 SSOP-20 两种无铅封装, 兼容 RoHS 3 封装 封装形式塑体宽度引脚间距封装说明订货型号 QFP-44 10*10mm 0.8mm 31.5mil 标准 QFP44 脚贴片 CH432Q SSOP mm 209mil 0.65mm 25mil 超小型 20 脚贴片 CH432T 4 引脚 4.1. 一般说明 CH432T 芯片采用 SSOP-20 封装, 是 QFP-44 封装的 CH432Q 芯片的简装版, 两者相同名称的引脚具有相同的功能 下表中直接列出的引脚号适用于 QFP-44 封装, 而括号中的引脚号适用于 SSOP-20 封装, 表中标为灰色的引脚是对双功能引脚的重新定义 4.2. 电源和系统信号线 引脚号引脚名称类型引脚说明 11,34 (18) VCC 电源 正电源端 12,23 (3,19) GND 电源 公共接地端 24 (9) XI 输入 晶体振荡的输入端, 需要外接晶体及振荡电容 25 (10) XO 输出 晶体振荡的反相输出端, 需要外接晶体及振荡电容 28 (12) HLF# 输入 半双工通讯模式使能, 低电平有效, 内置弱上拉电阻 4.3. 本地接口信号线

3 CH432 中文手册 ( 一 ) 3 引脚号引脚名称类型引脚说明 13 SPI# 输入 接口方式选择, 高电平为并口方式, 低电平为 SPI 方式 36 ALE# 输入 并口的地址方式选择, 高电平为直接地址方式, 低电平为复用地址方式 1-4, 三态输出并口方式的双向数据信号线, D7~D 及输入并口复用地址方式的地址和数据复用信号线 1 (20) SCK 输入 SPI 方式的 SPI 串行时钟输入 42 (17) SDI 输入 SPI 方式的 SPI 串行数据输入 41 (16) SDO 三态输出 SPI 方式的 SPI 串行数据输出 A3~A0 输入 并口直接地址方式的地址线输入 37 ALE 输入 并口复用地址方式的地址锁存使能输入, 高电平有效 9 RD# 输入 并口方式的读选通输入, 低电平有效 8 WR# 输入 并口方式的写选通输入, 低电平有效 7 CS# 输入 并口方式的片选控制输入, 低电平有效 7 (2) SCS# 输入 SPI 方式的 SPI 片选输入, 低电平有效 10 CS1 输入 并口方式的片选控制输入, 高电平有效 5 (1) INT# 开漏输出 中断请求输出, 低电平有效 14 INT0 三态输出 串口 0 中断请求输出, 高电平有效, 内置弱上拉电阻 6 INT1 三态输出 串口 1 中断请求输出, 高电平有效, 内置弱上拉电阻 4.4. 串口 0 信号线 引脚号引脚名称类型引脚说明 22 (8) CTS 输入 MODEM 信号, 清除发送, 低电平有效, 内置弱上拉 21 DSR 输入 MODEM 信号, 数据装置就绪, 低电平有效, 内置弱上拉 20 (7) RI 输入 MODEM 信号, 振铃指示, 低电平有效, 内置弱上拉 19 DCD 输入 MODEM 信号, 载波检测, 低电平有效, 内置弱上拉 18 (6) RXD 输入 异步串行数据输入, 内置弱上拉电阻 17 DTR 输出 MODEM 信号, 数据终端就绪, 低电平有效 16 (5) RTS 输出 MODEM 信号, 请求发送, 低电平有效在半双工通讯时为串行数据正在发送状态指示, 高有效 15 (4) TXD 输出 异步串行数据输出 4.5. 串口 1 信号线 引脚号引脚名称类型引脚说明 33 (14) CTS1 输入 MODEM 信号, 清除发送, 低电平有效, 内置弱上拉 32 DSR1 输入 MODEM 信号, 数据装置就绪, 低电平有效, 内置弱上拉 31 RI1 输入 MODEM 信号, 振铃指示, 低电平有效, 内置弱上拉 30 DCD1 输入 MODEM 信号, 载波检测, 低电平有效, 内置弱上拉 29 (13) RXD1 输入 异步串行数据输入, 内置弱上拉电阻 26 DTR1 输出 MODEM 信号, 数据终端就绪, 低电平有效 35 (15) RTS1 输出 MODEM 信号, 请求发送, 低电平有效在半双工通讯时为串行数据正在发送状态指示, 高有效 27 (11) TXD1 输出 异步串行数据输出 5 配置

4 CH432 中文手册 ( 一 ) 半双工配置 CH432 芯片的串口支持全双工或者半双工通讯 当 HLF# 引脚接地或者接低电平时,CH432 工作于半双工通讯方式 这种半双工通讯又可以分为两种具体应用 : 半双工串口 ( 包括但不限于 RS485 通讯应用 ) IrDA 红外串口 SIR 在半双工串口应用中,RTS 引脚 ( 串口 1 则是 RTS1 引脚 ) 用于串行数据正在发送状态指示, 高电平有效, 可以用于自动控制 RS485 收发器的收发切换 在红外串口 SIR 应用中,RXD 和 TXD 引脚可以直接连接 ZHX1810 HSDL3000 TFBS4711 TFDU4100 等红外线收发器的 RXD 和 TXD 引脚,CH432 内部自动实现红外编解码 下表为串口的半双工配置 引脚组合状态 HLF# 引脚悬空或者接高电平 HLF# 引脚接地或者接低电平 CTS 引脚接高电平 CTS 引脚接地或者接低电平 CTS1 引脚接高电平 CTS1 引脚接地或者接低电平 串口 0 为全双工通讯 CTS 用于 MODEM 信号 串口 1 为全双工通讯 CTS1 用于 MODEM 信号 串口 0 为红外串口 SIR 串口 0 为半双工通讯, 如 RS485 串口 1 为全双工通讯串口 1 为半双工通讯, 如 RS 内部时钟 CH432 芯片内部具有时钟振荡器, 只需要在 XI 和 XO 引脚之间连接一个晶体, 并且分别为 XI 和 XO 引脚对地连接振荡电容, 就能产生串口所需的外部时钟信号, 如果不接晶体和电容,CH432 芯片也可以通过 XI 引脚从外部时钟源直接输入时钟 CK2X 是串口 1 的 IER 寄存器的位 5,CH432 芯片内部由 CK2X 选择对 XI 引脚的外部时钟信号进行 1/12 分频或者 2 倍频, 产生内部基准时钟提供给两个串口 CK2X 默认为 0, 为 0 时选择 1/12 分频, 为 1 时选择 2 倍频 如果需要与现有 16C550 串口芯片兼容, 那么内部时钟频率应该是 MHz, 对应的最大串口波特率是 bps, 所以默认的外部时钟频率为 MHz 如果需要产生较高的串口波特率, 那么应该设置 CK2X 为 1, 并且选择较高的外部时钟频率 如果需要产生特殊数值的串口波特率, 那么应该选择特定频率的外部时钟或者晶体 下表是根据 CK2X 值和外部晶体频率产生串口的内部时钟频率和最大串口波特率 外部输入时钟频率 CK2X=0, 内部 1/12 分频 CK2X=1, 内部 2 倍频或者外部晶体频率内部时钟频率最大波特率内部时钟频率最大波特率 32MHz 64MHz 4Mbps MHz MHz 115.2Kbps MHz Mbps MHz MHz 2.304Mbps MHz MHz Mbps MHz MHz 57.6Kbps MHz Mbps MHz MHz 38.4Kbps MHz 921.6Kbps MHz MHz 19.2Kbps MHz 460.8Kbps MHz MHz 115.2Kbps 5.3. 本地接口方式 CH432T 芯片在本地端只支持 SPI 同步串行接口, 而 CH432Q 芯片在本地端提供了两种接口方式 : 通用的 8 位被动并行接口和 SPI 同步串行接口 在 CH432Q 芯片上电复位时,CH432Q 将采样 SPI# 引脚的状态, 如果 SPI# 为低电平 ( 接地 ), 那么选择 SPI 串行接口, 否则选择并行接口 如果选择了并行接口, 那么 CH432Q 芯片会根据 ALE# 引脚的状态选择地址方式 : 直接地址和复用地址 当 ALE# 引脚为低电平时选择复用地址方式, 否则选择直接地址方式 在直接地址方式下, 地址是从 A3~A0 引脚直接输入 ; 在复用地址方式下, 地址是由 ALE 引脚控制从 D3~D0 引脚输入锁存 引脚状态 ALE# 引脚为高电平 ALE# 引脚为低电平 SPI# 引脚为高电平直接地址的 8 位并口方式复用地址的 8 位并口方式 SPI# 引脚为低电平 SPI 串行接口方式

5 CH432 中文手册 ( 一 ) 5 6 寄存器 CH432 兼容工业标准 并有所增强, 表中标为灰色的寄存器位为增强功能, 除此之外的寄存器可以参考单串口芯片 16C550 的说明 在寄存器地址空间分布上, 从地址 00H 开始的 8 个寄存器为串口 0 的寄存器, 从地址 08H 开始的 8 个寄存器为串口 1 的寄存器, 除了起始地址不同以及下表中的 SLP/CK2X 寄存器位之外, 串口 0 与串口 1 的寄存器都相同 表中 DLAB 为寄存器 LCR 的位 7,X 表示不关心 DLAB 值,RO 表示寄存器只读,WO 表示寄存器只写,R/W 表示寄存器可读可写 地址 DLAB R/W 名称 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 RO RBR 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 WO THR 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 R/W IER RESET LOWPOWER SLP/CK2X 0 IEMODEM IELINES IETHRE IERECV 2 X RO IIR FIFOENS FIFOENS 0 0 IID3 IID2 IID1 NOINT 2 X WO FCR RECVTG1 RECVTG TFIFORST RFIFORST FIFOEN 3 X R/W LCR DLAB BREAKEN PARMODE1 PARMODE0 PAREN STOPBIT WORDSZ1 WORDSZ0 4 X R/W MCR 0 0 AFE LOOP OUT2 OUT1 RTS DTR 5 X RO LSR RFIFOERR TEMT THRE BREAKINT FRAMEERR PARERR OVERR DATARDY 6 X RO MSR DCD RI DSR CTS DCD RI DSR CTS 7 X R/W SCR 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 R/W DLL 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 R/W DLM 位 15 位 14 位 13 位 12 位 11 位 10 位 9 位 8 下表是串口寄存器在上电复位或者串口软复位之后的默认值 寄存器名称位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 0 IER IIR FCR LCR MCR LSR MSR DCD RI DSR CTS SCR 保持 保持 保持 保持 保持 保持 保持 保持 FIFO 复位, 包括发送 FIFO 和接收 FIFO TSR 复位,TSR 是串口发送移位寄存器 RSR 复位,RSR 为串口接收移位寄存器 其它 未定义 RBR: 接收缓冲寄存器, 如果 LSR 的 DATARDY 位为 1 则可以从该寄存器读取接收到的数据 如果 FIFOEN 为 1 则从串口移位寄存器 RSR 接收到的数据首先被存放于接收 FIFO 中, 然后通过该寄存器读出 THR: 发送保持寄存器, 包括发送 FIFO, 用于写入准备发送的数据 如果 FIFOEN 为 1 则写入的数据首先被存放于发送 FIFO 中, 然后通过发送移位寄存器 TSR 逐个输出 IER: 中断使能寄存器, 包括增强功能控制位以及串口中断使能 RESET: 该位置 1 则软复位该串口, 该位能够自动清 0, 无需软件清 0 LOWPOWER: 该位为 1 则关闭该串口的内部基准时钟, 从而使该串口进入低功耗状态 SLP/CK2X: 串口 0 与串口 1 的该位用途不同, 串口 0 是 SLP, 该位为 1 则关闭时钟振荡器, 从而使串口 0 与串口 1 全部进入睡眠状态, 串口 1 是 CK2X, 该位为 1 则强制将外部时钟信号 2 倍频后作为串口 0 和串口 1 的内部基准时钟 IEMODEM: 该位为 1 则允许调制解调器输入状态变化中断 IELINES: 该位为 1 则允许接收线路状态中断

6 CH432 中文手册 ( 一 ) 6 IETHRE: 该位为 1 则允许发送保持寄存器空中断 IERECV: 该位为 1 则允许接收到数据中断 IIR: 中断识别寄存器, 用于分析中断源并处理 FIFOENS: 该位为 FIFO 启用状态, 为 1 表示已经启用 FIFO IIR 寄存器位 IID3 IID2 IID1 NOINT 优先级 中断类型 中断源 清中断方法 无 没有中断产生 没有中断 接收线路状态 OVERR PARERR FRAMEERR BREAKINT 读 LSR 接收数据可用 接收到的字节数达到 FIFO 的触发点 读 RBR 接收数据超时 超过 4 个数据的时间未收到下一数据 读 RBR THR 寄存器空 发送保持寄存器空, 读 IIR IETHRE 从 0 变 1 可以重新使能中断或写 THR MODEM 输入变化 CTS DSR RI DCD 读 MSR FCR: 先进先出缓冲区 FIFO 控制寄存器, 用于使能和复位 FIFO RECVTG1 和 RECVTG0: 设置接收 FIFO 的中断和硬件流控制的触发点,00 对应 1 个字节, 即接收满 1 个字节产生接收数据可用的中断, 并在使能硬件流控制时自动无效 RTS 引脚,01 对应 4 个字节,10 对应 8 个字节,11 对应 14 个字节 TFIFORST: 该位置 1 则清空发送 FIFO 中的数据 ( 不含 TSR), 该位能够自动清 0, 无需软件清 0 RFIFORST: 该位置 1 则清空接收 FIFO 中的数据 ( 不含 RSR), 该位能够自动清 0, 无需软件清 0 FIFOEN: 该位为 1 则启用 FIFO, 该位清 0 则禁用 FIFO, 禁用 FIFO 后为 16C450 兼容模式, 相当于 FIFO 只有一个字节 LCR: 线路控制寄存器, 用于控制串口通讯的格式 DLAB: 该位为除数锁存器存取使能, 为 1 时才能存取 DLL 和 DLM, 为 0 时才能存取 RBR/THR/IER BREAKEN: 该位为 1 则强制产生 BREAK 线路间隔 PARMODE1 和 PARMODE0: 当 PAREN 为 1 时设置奇偶校验位的格式 :00 则奇校验,01 则偶校验,10 则标志位 (MARK, 置 1), 11 则空白位 (SPACE, 清 0) PAREN: 该位为 1 则允许发送时产生和接收时校验奇偶校验位, 为 0 则无奇偶校验位 STOPBIT: 该位为 1 则两个停止位, 为 0 则一个停止位 WORDSZ1 和 WORDSZ0: 设置字长度,00 则 5 个数据位,01 则 6 个数据位,10 则 7 个数据位,11 则 8 个数据位 MCR: 调制解调器 MODEM 控制寄存器, 用于控制 MODEM 输出 AFE: 该位为 1 则允许 CTS 和 RTS 硬件自动流控制 如果 AFE 为 1, 那么仅在检测到 CTS 引脚输入有效 ( 低电平有效 ) 时串口才继续发送下一个数据, 否则暂停串口发送, 当 AFE 为 1 时的 CTS 输入状态变化不会产生 MODEM 状态中断 如果 AFE 为 1 并且 RTS 为 1, 那么当接收 FIFO 空时, 串口会自动有效 RTS 引脚 ( 低电平有效 ), 直到接收的字节数达到 FIFO 的触发点时, 串口才自动无效 RTS 引脚, 并能够在接收 FIFO 空时再次有效 RTS 引脚 使用硬件自动带率控制, 可将己方的 CTS 引脚接到对方的 RTS 引脚, 并将己方的 RTS 引脚送到对方的 CTS 引脚 LOOP: 该位为 1 则使能内部回路的测试模式 在内部回路的测试模式下, 串口所有对外输出引脚均为无效状态,TXD 内部返回到 RXD( 即 TSR 的输出内部返回到 RSR 的输入 ),RTS 内部返回到 CTS,DTR 内部返回到 DSR,OUT1 内部返回到 RI,OUT2 内部返回到 DCD OUT2: 该位为 1 则允许该串口的中断请求输出, 否则该串口不产生实际中断请求 OUT1: 该位为用户可定义 MODEM 控制位, 没有连接实际输出引脚 RTS: 该位为 1 则 RTS 引脚输出有效 ( 低电平有效 ), 否则 RTS 引脚输出无效 DTR: 该位为 1 则 DTR 引脚输出有效 ( 低电平有效 ), 否则 DTR 引脚输出无效 LSR: 线路状态寄存器, 用于查询方式分析串口状态 RFIFOERR: 该位为 1 表示在接收 FIFO 中存在至少一个 PARERR FRAMEERR 或 BREAKINT 错误 TEMT: 该位为 1 表示发送保持寄存器 THR 和发送移位寄存器 TSR 全空 THRE: 该位为 1 表示发送保持寄存器 THR 空 BREAKINT: 该位为 1 表示检测到 BREAK 线路间隔

7 CH432 中文手册 ( 一 ) 7 FRAMEERR: 该位为 1 表示正在从接收 FIFO 中读取的数据的帧错误, 缺少有效的停止位 PARERR: 该位为 1 表示正在从接收 FIFO 中读取的数据的奇偶校验错 OVERR: 该位为 1 表示接收 FIFO 缓冲区溢出 DATARDY: 该位为 1 表示接收 FIFO 中有接收到的数据, 读取 FIFO 中所有数据后, 该位自动清 0 MSR: 调制解调器 MODEM 状态寄存器, 用于查询 MODEM 状态 DCD: 该位是 DCD 引脚的位反, 为 1 表示 DCD 引脚有效 ( 低电平有效 ) RI: 该位是 RI 引脚的位反, 为 1 表示 RI 引脚有效 ( 低电平有效 ) DSR: 该位是 DSR 引脚的位反, 为 1 表示 DSR 引脚有效 ( 低电平有效 ) CTS: 该位是 CTS 引脚的位反, 为 1 表示 CTS 引脚有效 ( 低电平有效 ) DCD: 该位为 1 表示 DCD 引脚输入状态发生过变化 RI: 该位为 1 表示 RI 引脚输入状态发生过变化 DSR: 该位为 1 表示 DSR 引脚输入状态发生过变化 CTS: 该位为 1 表示 CTS 引脚输入状态发生过变化 SCR: 用户可定义寄存器 DLL 和 DLM: 波特率除数锁存器,DLL 是低字节,DLM 是高字节, 两者组成的 16 位除数用于由 16 位计数器构成的串口波特率产生器 该除数 = 串口内部基准时钟 / 16 / 所需通讯波特率 如果串口内部基准时钟为 MHz, 所需波特率为 9600bps, 则除数 = /16/9600=12 7 功能说明 7.1. 查询与中断 CH432 芯片共有 3 个中断请求输出引脚 :INT0 引脚是串口 0 的中断请求, 由串口 0 的 MCR 寄存器的 OUT2 控制其三态输出, 当 OUT2 为 1 时输出允许 ;INT1 引脚是串口 1 的中断请求, 由串口 1 的 MCR 寄存器的 OUT2 控制其三态输出, 当 OUT2 为 1 时输出允许 ;INT# 引脚是低电平有效的开漏输出引脚, 连接单片机时可能需要外加上拉电阻, 在逻辑功能上是 INT0 和 INT1 的 或非, 当 INT0 或者 INT1 其中一个有效时 ( 三态输出允许且输出高电平 ), INT# 引脚输出低电平, 否则 INT# 引脚不输出 如果只连接 INT# 引脚, 即两个串口合用一个中断请求, 那么单片机在进入中断服务程序后, 首先应该分析出是哪个串口的中断请求 当进入中断服务程序后, 单片机首先读取串口 0 的 IIR 寄存器, 有中断则处理并退出, 无中断则读取串口 1 的 IIR 寄存器, 有中断则处理并退出, 无中断则直接退出 当确认是某个串口的中断后, 如果有必要还可以进一步分析 LSR 寄存器, 分析中断原因并处理 如果连接了 INT0 和 INT1 引脚, 那么单片机就能够直接判断出是哪个串口的中断请求, 可以直接读取其 IIR 寄存器分析并处理中断 INT0 和 INT1 在三态输出禁止时 (MCR 寄存器的 OUT2 为 0), 内置的弱上拉电阻会使其输出微弱的高电平, 通过外接 10KΩ~100KΩ 的下拉电阻可使其默认为低电平 如果串口工作于中断方式, 那么需要设置 IER 寄存器以允许相应的中断请求, 并设置 MCR 寄存器中的 OUT2 以允许中断输出 如果串口工作于查询方式, 那么无需设置 IER 和 MCR 的 OUT2, 只需查询 LSR 寄存器并分析处理 7.2. 串口操作 串口发送的保持寄存器 THR 空中断 (IIR 寄存器的低 4 位为 02H) 是指发送 FIFO 空 当读取 IIR 寄存器后, 该中断被清除, 或者当向 THR 写入下一个数据后, 该中断也能被清除 如果仅仅是向 THR 写入一个字节, 那么由于该字节很快被转移到发送移位寄存器 TSR 中开始发送, 所以 CH432 很快会再次产生发送保持寄存器 THR 空中断的请求, 此时可以写入下一个准备发送的数据 当 TSR 寄存器中的数据被全部移出后, 串口发送才真正完成, 此时 LSR 寄存器的 TEMT 位变为 1 有效 当单片机收到串口发送保持寄存器 THR 空的中断后, 如果使能 FIFO, 那么可以向 THR 寄存器及 FIFO 一次写入最多 16 个字节, 由 CH432 按顺序自动发送 ; 如果禁止 FIFO, 那么一次只能写入一个字节 ; 如果没有数据需要发送, 那么可以直接退出 ( 之前读取 IIR 时已经自动清除中断 ) 在查询方式下, 单片机可以根据 LSR 寄存器的 THRE 位判断发送 FIFO 是否为空,THRE 为 1 则可以向 THR 寄存器及 FIFO 写入数据, 如果使能 FIFO, 那么一次可以写入最多 16 个字节

8 CH432 中文手册 ( 一 ) 8 串口接收的数据可用中断 (IIR 寄存器的低 4 位为 04H) 是指接收 FIFO 中的已有数据字节数已经达到或超过由 FCR 寄存器的 RECVTG1 和 RECVTG0 选择的 FIFO 触发点 当从 RBR 读取数据使 FIFO 中字节数低于 FIFO 触发点时, 该中断被清除 串口接收的数据超时中断 (IIR 寄存器的低 4 位为 0CH) 是指接收 FIFO 中至少有一个字节的数据, 并且从上一次串口接收到数据和从上一次被单片机取走数据开始, 已经等待了相当于接收 4 个数据的时间 当再次接收到一个新的数据后, 该中断被清除, 或者当单片机读取一次 RBR 寄存器后, 该中断也能被清除 当接收 FIFO 全空时,LSR 寄存器的 DATARDY 位为 0, 当接收 FIFO 中有数据时,DATARDY 位为 1 有效 当单片机收到串口接收数据超时的中断后, 可以先从 RBR 寄存器读取一个字节, 然后查询 LSR 寄存器的 DATARDY 位, 如果 DATARDY 位有效则再读取一个字节, 直到 DATARDY 位无效 当单片机收到串口接收数据可用的中断后, 可以先从 RBR 寄存器读取由 FCR 寄存器的 RECVTG1 和 RECVTG0 设定的字节数, 然后再查询 LSR 寄存器的 DATARDY 位, 如果 DATARDY 位有效则再读取一个字节, 直到 DATARDY 位无效, 或者全部是通过查询 LSR 寄存器的 DATARDY 位有效后再读取 在查询方式下, 单片机可以根据 LSR 寄存器的 DATARDY 位判断接收 FIFO 是否为空,DATARDY 为 1 则可以从 RBR 寄存器读取一个字节的数据, 然后查询 DATARDY 位的状态, 如果有效则再次读取 RBR 寄存器, 直到 DATARDY 位为 0 接收线路状态中断 (IIR 寄存器的低 4 位为 06H) 是指上次单片机读取 LSR 寄存器之后,CH432 在串口接收过程中检测到错误或者异常 当从 LSR 寄存器读取线路状态后, 该中断及 LSR 寄存器中的状态位被清除 该中断是 LSR 寄存器的位数据 BREAKINT FRAMEERR PARERR 和 OVERR 的逻辑 或 MODEM 输入变化中断 (IIR 寄存器的低 4 位为 00H) 是指上次单片机读取 MSR 寄存器之后,CH432 检测到调制解调器的输入信号发生了变化 当从 MSR 寄存器读取 MODEM 状态后, 该中断及 MSR 寄存器中的状态位被清除 该中断是 MSR 寄存器的位数据 DCD RI DSR 和 CTS 的逻辑 或 7.3. 硬件流控制 硬件流控制包括自动 CTS(MCR 寄存器的 AFE 为 1) 和自动 RTS(MCR 寄存器的 AFE 和 RTS 都为 1) 如果使能自动 CTS, 那么 CTS 引脚在串口发送数据之前必须有效 串口发送器在发送下一个数据之前会检测 CTS 引脚, 当 CTS 引脚状态有效时, 发送器发送下一个数据 为了使发送器停止发送后面的数据,CTS 引脚必须在当前发送的最后一个停止位的中间时刻之前被无效 自动 CTS 功能减少了向单片机系统申请的中断 当使能硬件流控制后, 由于 CH432 会根据 CTS 引脚状态自动控制发送器, 所以 CTS 引脚电平的改变不会触发 MODEM 中断 如果使能自动 RTS, 那么仅当 FIFO 中有足够空间接收数据时才使 RTS 引脚输出有效, 而在接收 FIFO 满时使 RTS 引脚输出无效 如果接收 FIFO 中的数据被全部取走或清空, 那么 RTS 引脚输出有效 当到达接收 FIFO 的触发点时 ( 接收 FIFO 中已有的字节数不少于 FCR 寄存器的 RECVTG1 和 RECVTG0 设定的字节数 ), RTS 引脚输出无效, 并且允许对方的发送器在 RTS 引脚无效后再发送一个另外的数据 一旦接收 FIFO 被取空数据,RTS 引脚就会自动重新有效, 从而使对方的发送器恢复发送 如果自动 CTS 和自动 RTS 都被使能 (MCR 寄存器的 AFE 和 RTS 都为 1), 那么当己方的 RTS 引脚连接对方的 CTS 引脚时, 除非己方的接收 FIFO 中有足够的空间, 否则对方不会发送数据 因此, 通过 CH432 芯片的这种硬件流控制, 可以避免串口接收时的 FIFO 溢出和超时错误 7.4. 并行接口 并口信号线包括 :8 位双向数据总线 D7~D0 读选通输入引脚 RD# 写选通输入引脚 WR# 片选输入引脚 CS# 和 CS1, 以及地址输入引脚 A3~A0 或地址锁存使能引脚 ALE 通过被动并行接口,CH432 芯片可以很方便地挂接到各种 8 位单片机 DSP MCU 的系统总线上, 并且可以与多个外围器件共存 CH432 芯片的 CS# 和 CS1 由地址译码电路驱动, 用于当单片机具有多个外围器件时进行设备选择 当外围器件较少时, 也可以由低电平有效的 CS# 和高电平有效的 CS1 直接对地址进行简单的片选译码 对于类似 Intel 并口时序的单片机,CH432 芯片的 RD# 引脚和 WR# 引脚可以分别连接到单片机的读选通输出引脚和写选通输出引脚 对于类似 Motorola 并口时序的单片机,CH432 芯片的 RD# 引脚应该接低电平, 并且 WR# 引脚连接到单片机的读写方向输出引脚 R/-W 在直接地址方式下, 当前操作地址是由 A3~A0 引脚在存取操作时直接输入

9 CH432 中文手册 ( 一 ) 9 在复用地址方式下, 当前操作地址是在存取操作之前 ALE 引脚高电平期间从 D3~D0 引脚输入并锁存 ALE 引脚是 A3 引脚的别名, 当 ALE 引脚为高电平时, 在 D3~D0 引脚上出现的数据被作为地址锁存, 而在存取操作期间,ALE 引脚应该是低电平, 所以之前已经锁存的地址不受影响 下表为并口 I/O 操作的真值表 ( 表中 X 代表不关心此位,Z 代表 CH432 三态禁止 ) CS1 CS# WR# RD# D7-D0 对 CH432 芯片的实际操作 0 X X X X/Z 未选中 CH432, 不进行任何操作 X 1 X X X/Z 未选中 CH432, 不进行任何操作 X/Z 虽然选中但无操作, 不进行任何操作 X 输入 向 CH432 芯片的指定地址写入数据 输出 从 CH432 芯片的指定地址读出数据 7.5. SPI 串行接口 SPI 同步串行接口信号线包括 :SPI 片选输入引脚 SCS# 串行时钟输入引脚 SCK 串行数据输入引脚 SDI 和串行数据输出引脚 SDO 通过 SPI 串行接口,CH432 可以用较少的连线挂接到各种单片机 DSP MCU 的 SPI 串行总线上, 或者进行较远距离的点对点连接 CH432 芯片的 SCS# 引脚由单片机的 SPI 片选输出引脚或者普通输出引脚驱动,SCK 引脚由单片机的 SPI 时钟输出引脚 SCK 驱动,SDI 引脚由单片机的 SPI 数据输出引脚 SDO 或 MOSI 驱动,SDO 引脚则连接到单片机的 SPI 数据输入引脚 SDI 或 MISO 对于硬件 SPI 接口, 建议 SPI 设置是 CPOL=CPHA=0 或者 CPOL=CPHA=1, 并且数据位顺序是高位在前 MSB first CH432 的 SPI 接口支持单片机用普通 I/O 引脚模拟 SPI 接口进行通讯 CH432 的 SDO 是三态输出引脚, 只在收到读操作命令后才输出 为了节约引脚,CH432 的 SDO 引脚可以与 SDI 引脚并联后再连接到单片机的双向 I/O 引脚, 建议 CH432 的 SDO 引脚先串接几百欧姆的电阻后再并联到 SDI 引脚 CH432 的 SPI 接口支持 SPI 模式 0 和 SPI 模式 3,CH432 总是从 SPI 时钟 SCK 的上升沿输入数据, 并在允许输出时从 SCK 的下降沿输出数据, 数据位顺序是高位在前, 计满 8 位为一个字节 上图是 SPI 逻辑时序图, 前一个是向 02H 地址写入 34H, 后一个是从 05H 地址读出 78H SPI 的操作步骤是 : 1 单片机产生 CH432 芯片的 SPI 片选, 低电平有效 ; 2 单片机按 SPI 输出方式发出一个字节的地址码, 用于指定操作地址和读写操作方向, 其位 1 为数据传输方向, 为 1 则写操作转 3, 为 0 则读操作转 4, 位 5~ 位 2 指定当前操作地址 ; 3 如果是写操作, 单片机发出一个字节的待写数据,CH432 收到并保存到指定地址, 转 5; 4 如果是读操作,CH432 从指定地址读出一个字节数据并输出, 单片机收到数据并保存, 转 5; 5 单片机禁止 CH432 芯片的 SPI 片选, 以结束当前 SPI 操作

10 CH432 中文手册 ( 一 ) 应用说明 CH432 芯片的输出引脚都是 CMOS 电平, 兼容 TTL 电平, 输入引脚能够兼容 CMOS 电平和 TTL 电平, 通过外加 RS232 电平转换器, 可以进一步转换为 RS232 串口 实际电路中未使用到的 CH432 芯片的任何引脚都可以悬空不接 CH432 芯片的串口正常工作时需要外部向 XI 引脚提供时钟信号 一般情况下, 时钟信号由 CH432 内置的反相器通过晶体稳频振荡产生 为了减小功耗, 如果只使用一个串口, 那么可以通过设置另一个串口 IER 寄存器的 LOWPOWER 位为 1 关闭未使用的串口 ; 如果两个串口暂时都不使用, 那么可以通过设置串口 0 的 IER 寄存器的 SLP 位为 1 关闭时钟振荡器, 但是在重新开启时钟振荡器时, 必须在串口操作之前等待至少 3 个毫秒的起振和稳定时间 CH432 芯片的串口引脚包括 : 数据传输引脚和 MODEM 联络信号引脚 数据传输引脚包括 :TXD 引脚和 RXD 引脚, 默认都是高电平 MODEM 联络信号引脚包括 :CTS 引脚 DSR 引脚 RI 引脚 DCD 引脚 DTR 引脚 RTS 引脚, 默认都是高电平 所有这些 MODEM 联络信号都可以作为通用 IO 引脚, 由单片机应用程序控制并定义其用途 CH432 内置了独立的收发缓冲区及 FIFO, 支持单工 半双工或者全双工异步串行通讯 串行数据包括 1 个低电平起始位 8 个数据位 0 个或者 1 个附加校验位或者标志位,1 个或者 2 个高电平停止位, 支持奇校验 / 偶校验 / 标志校验 / 空白校验 CH432 支持常用通讯波特率 : K 38.4K 57.6K 115.2K 230.4K 460.8K 921.6K M M 等 串口发送信号的波特率误差小于 0.2%, 串口接收信号的允许波特率误差不小于 2% CH432 可以用于通过并口或者 SPI 接口为单片机 / 嵌入式系统扩展额外的高速 RS232 串口 支持自动硬件速率控制的高波特率串口 RS422 或者 RS485 通讯接口 SIR 红外通讯接口等 现有的基于工业标准 16C450 或者 16C550 芯片开发的串口程序能够直接应用, 通常不需要作任何修改 8 参数 8.1. 绝对最大值 ( 临界或者超过绝对最大值将可能导致芯片工作不正常甚至损坏 ) 名称参数说明最小值最大值单位 TA 工作时的环境温度 VCC=5V VCC=3.3V TS 储存时的环境温度 VCC 电源电压 (VCC 接电源,GND 接地 ) V VIO 输入或者输出引脚上的电压 -0.5 VCC+0.5 V 8.2. 电气参数 ( 测试条件 :TA=25,VCC=5V) ( 如果电源电压为 3.3V, 则表中所有电流参数需要乘以 40% 的系数 ) 名称参数说明最小值典型值最大值单位 VCC 电源电压 V ICC 5V 电源电压工作时的电源电流 ma ICC3 3.3V 电源电压工作时的电源电流 ma ISLP3 3.3V 电源电压低功耗睡眠时的电源电流 ua VIL 低电平输入电压 V VIH 高电平输入电压 2.0 VCC+0.5 V VOL 低电平输出电压 (4mA 吸入电流 ) 0.5 V VOH 高电平输出电压 (2mA 输出电流 ) VCC-0.5 V IIN 无上拉的输入端的输入电流 10 ua IUP1 带弱上拉的输入端的输入电流 ua VR 电源上电内部复位的电压门限 V

11 CH432 中文手册 ( 一 ) 基本时序 ( 测试条件 :TA=25,VCC=5V, 括号中参数 VCC=3.3V, 参考附图 ) 名称参数说明最小值典型值最大值单位 FXI XI 输入频率 晶体频率 (24) MHz TPR 电源上电的内部复位时间 ms TIC 从收到清除中断操作到撤消中断的时间 ns 8.4. 并口时序 ( 测试条件 :TA=25,VCC=5V, 括号中参数 VCC=3.3V, 参考下面附图 ) (RD 是指 RD# 信号有效并且 CS1 和 CS# 信号有效,WR#=1&RD#=CS#=0&CS1=1 执行读操作 ) (WR 是指 WR# 信号有效并且 CS1 和 CS# 信号有效,WR#=CS#=0&CS1=1 执行写操作 ) 名称参数说明最小值典型值最大值单位 TWW 有效的写选通脉冲 WR 的宽度 40 (60) ns TRW 有效的读选通脉冲 RD 的宽度 40 (60) ns TWS 读选通或写选通脉冲的间隔宽度 60 (90) ns TAS RD 或 WR 前的地址输入建立时间 5 (8) ns TAH RD 或 WR 后的地址输入保持时间 3 ns TIS 写选通 WR 前的数据输入建立时间 0 ns TIH 写选通 WR 后的数据输入保持时间 3 ns TON 读选通 RD 有效到数据输出有效 18 (30) 25 (40) ns TOF 读选通 RD 无效到数据输出无效 20 (35) ns TLW 有效的地址锁存脉冲 ALE 的宽度 6 (9) ns TLS 地址锁存 ALE 前的地址输入建立时间 5 (8) ns TLH 地址锁存 ALE 后的地址输入保持时间 3 ns TLZ 复用地址输出无效到读选通 RD 有效 0 ns

12 CH432 中文手册 ( 一 ) SPI 串口时序 ( 测试条件 :TA=25,VCC=5V, 括号中参数 VCC=3.3V, 参考上面附图 ) 名称参数说明最小值典型值最大值单位 TSS SCK 上升沿之前 SCS# 有效的建立时间 20 (30) ns TSH SCK 上升沿之后 SCS# 有效的保持时间 20 (30) ns TNS SCK 上升沿之前 SCS# 无效的建立时间 20 (30) ns TNH SCK 上升沿之后 SCS# 无效的保持时间 20 (30) ns TN SCS# 无效时间 (SPI 操作间隔时间 ) 80 (100) ns TCH SCK 时钟的高电平时间 20 (30) ns TCL SCK 时钟的低电平时间 20 (30) ns TDS SCK 上升沿之前 SDI 输入的建立时间 10 (15) ns TDH SCK 上升沿之后 SDI 输入的保持时间 5 ns TOE SCK 下降沿到 SDO 输出有效 2 12 (20) 20 (30) ns TOX SCK 下降沿到 SDO 输出改变 8 (12) 12 (20) ns TOZ SCS# 无效到 SDO 输出无效 20 (30) ns 9 应用 9.1. 并口复用地址方式 ( 下图 ) 这是基于 CH432Q 芯片的双通道异步串口的电路 CH432 与单片机的接口方式是复用地址的 8 位并口方式,ALE 引脚用于输入复用地址的锁存使能信号, 片选信号 CS1 和 CS# 直接由单片机的地址信号驱动, 在 CH432 内部进行简单的地址译码, 图中 CH432 芯片的片选地址为 8000H-0BFFFH 图中 CH432 的两个串口合用了 INT# 中断输出引脚, 如果需要使两个串口的中断完全独立, 单片机可以连接 CH432 芯片的 INT0 和 INT1 两个引脚 ( 这两个中断输出是高电平有效, 可以用于查询 ) 晶体 X2 和电容 C3 及 C4 用于时钟振荡电路,X2 的频率可以根据实际需要的最大通讯波特率进行选择, 为减小功耗和电磁辐射, 建议为晶体 X2 优先选择较低的频率, 例如 MHz 晶体 CH432 的串口和 MODEM 信号是 CMOS/TTL 电平, 通过外加 75232/MAX213/ADM213/SP213 等 RS232 电平转换器可以实现双 RS232 串口 9.2. 并口直接地址方式 ( 下图 )

13 CH432 中文手册 ( 一 ) 13 图中 CH432Q 芯片与单片机的接口方式是直接地址的 8 位并口方式,ALE# 引脚接高电平,A3-A0 引脚用于输入直接地址, 片选信号 CS# 由地址译码器 U5 的输出信号驱动 9.3. SPI 方式完整串口 ( 下图 )

14 CH432 中文手册 ( 一 ) 14 这是基于 CH432Q 芯片 SPI 接口方式的双通道异步串口的电路, 图中 SPI# 引脚接低电平 9.4. SPI 方式简化串口 ( 下图 ) 这是基于 CH432T 芯片的双串口电路, 去掉了一些不常用的 MODEM 信号, 其它功能和使用方法都与 CH432Q 芯片的 SPI 接口方式相同 9.5. RS485 和 IrDA 应用 ( 下图 ) 图中 CH432 芯片的 HLF# 引脚接低电平选择半双工通讯方式 串口 0 的 CTS 引脚为高电平, 选择 IrDA 红外线编解码方式, 通过外加红外收发器 U10, 用于 SIR 红外通讯 串口 1 的 CTS1 引脚为低电平, 选择半双工方式, 通过外加 RS485 收发器 U9, 用于 RS485 通讯 在半双工通讯方式下,RTS 和 RTS1 引脚是高电平有效的串行数据正在发送状态指示, 可以用于 RS485 等半双工通讯的收发自动切换

CH340DS1.DOC

CH340DS1.DOC CH340 中文手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 中文手册版本 :1E http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口 USB 转 IrDA 红外或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册

More information

CH340DS1.DOC

CH340DS1.DOC CH340 手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 手册版本 :2B http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册 ( 二 )CH340DS2

More information

CH9325DS

CH9325DS CH9328 中文手册 1 1 概述 串口转 HID 键盘芯片 CH9328 中文手册版本 :V1.4 http://wch.cn CH9328 是一款串口转 HID 键盘芯片, 在电脑上识别为标准的 USB HID 类键盘设备 CH9328 用于单向数据传输, 可以接收串口发送过来的数据 ( 如 ASCII 码 ), 并按照 HID 类键盘设备规范, 将数据打包成标准的键盘码值通过 USB 口上传给计算机

More information

CH374DS1.DOC

CH374DS1.DOC CH374 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH374 中文手册 ( 一 ) 版本 :2A http://wch.cn CH374 是一个 USB 总线的通用接口芯片, 支持 USB-HOST 主机方式和 USB-DEVICE/SLAVE 设备方式, 内置 3 端口 HUB 根集线器, 支持低速和全速的控制传输 批量传输 中断传输以及同步 / 等时传输 在本地端,CH374

More information

CH341DS1.DOC

CH341DS1.DOC CH341 中文手册 ( 一 ) 1 1 概述 USB 总线转接芯片 CH341 中文手册版本 :2D http://wch.cn CH341 是一个 USB 总线的转接芯片, 通过 USB 总线提供异步串口 打印口 并口以及常用的 2 线和 4 线等同步串行接口 在异步串口方式下,CH341 提供串口发送使能 串口接收就绪等交互式的速率控制信号以及常用的 MODEM 联络信号, 用于为计算机扩展异步串口,

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

CH372DS1.DOC

CH372DS1.DOC CH372 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH372 中文手册版本 :3D http://wch.cn CH372 是一个 USB 总线的通用设备接口芯片, 是 CH371 的升级产品, 是 CH375 芯片的功能简化版 在本地端,CH372 具有 8 位数据总线和读 写 片选控制线以及中断输出, 可以方便地挂接到单片机 /DSP/MCU/MPU 等控制器的系统总线上 ;

More information

CH376DS

CH376DS V1.1 CH567 数据手册 概述 CH567 是高性能的 32 位 RISC 精简指令集微控制器, 内置 192KB FLASH 闪存 32KB SRAM 及 32KB DataFlash 片上集成两组独立的高速 USB2. 主 / 从控制器 4 组 SD 控制器 加密算法模块 4 组 UART 接口 7 组 PWM 3 组定时器等丰富的外设资源, 可广泛的应用于各种嵌入式应用 功能 内核 :

More information

CH341DS2.DOC

CH341DS2.DOC CH341 中文手册 ( 二 ) 1 1 并口功能说明 USB 总线转接芯片 CH341 中文手册 ( 二 ): 并口及同步串口说明版本 :2C http://wch.cn 1.1. 一般说明 CH341 的并口是主动式并口, 在计算机端的程序控制下, 可以直接从外部电路输入输出数据, 一般不需要外接单片机 /DSP/MCU CH341 的并口主要有 2 种接口方式 :EPP 方式和 MEM 方式

More information

CH395DS1_V46.DOC

CH395DS1_V46.DOC CH395 手册 ( 一 ) 1 1 概述 以太网协议栈芯片 CH395 手册版本 :1D http://wch.cn CH395 是以太网协议栈管理芯片, 用于单片机系统进行以太网通讯 CH395 芯片自带 10/100M 以太网介质传输层 (MAC) 和物理层 (PHY), 完全兼容 IEEE802.3 10/100M 协议, 内置了 PPPOE IP DHCP ARP ICMP IGMP UDP

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

整书分部 第一部(无编号1级)

整书分部 第一部(无编号1级) 带 I 2 C 总线 /SPI 接口,64 字节发送和接收 FIFO, 支持内置 IrDA( 版本 1.0 和 1.1) 的单个 UARTSC16IS750/SC16IS760 1. 概述...3 2. 特性...3 2.1 通用特性...3 2.2 I 2 C 总线特性...4 2.3 SPI 特性...4 3. 应用...4 4. 订购信息...4 5. 方框图...5 6. 管脚配置信息...6

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9>

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9> SM1650B-8 八路开关量采集模块 说明书 网址 :http://www.sonbest.com 第 1 页共 11 页 概述 SM1650B-8 是开关量信号采集模块, 基于工业用 MODBUS-RTU 协议, 是针对各种工业现场的实际需求设计生产的, 集采集 处理等多种功能于一身的新型工业化模块 为便于工程组网及工业应用, 本模块采用工业广泛使用的 MODBUS-RTU 通讯协议, 支持二次开发,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

引脚说明 引脚号 引脚名称 功能描述 1 ACT 接收有效输出, 在有有效遥控信号时, 变为低电平, 同时输出解码数据 2 VCC 电源输入, 电压 V 3 SS SPI 接口的 Slave Select 端, 接 SPI 从芯片的片选, 低电平有效 4 GND 接地端 5 CLK S

引脚说明 引脚号 引脚名称 功能描述 1 ACT 接收有效输出, 在有有效遥控信号时, 变为低电平, 同时输出解码数据 2 VCC 电源输入, 电压 V 3 SS SPI 接口的 Slave Select 端, 接 SPI 从芯片的片选, 低电平有效 4 GND 接地端 5 CLK S BitCode xxxx BC7210A 3V 供电低成本通用红外遥控解码芯片 ( 第四版 ) BC7210A 是一款低成本通用红外遥控解码芯片, 可以完成目引脚图前应用最广泛的多种红外遥控编码的解码, 包括飞利浦 (RC5) 编码 ( 典型编码芯片如 SAA3010 及兼容芯片如 PT2210 等 ) 和 NEC 编码 ( 典型编码芯片如 upd6121, upd6122, TC9012 以及众多的兼容芯片型号,

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数 目 录 1. KF1030a 无线模块 1 1.1 特性 1 1.2 技术规格 2 1.3 机械尺寸 3 1.4 模块引脚 3 1.5 电气特性 4 1.5.1 收发时序 4 2. 模块应用 5 3. 开发指南 11 3.1 KF1030a 开发工具 11 3.2 KF-Modem 工具 11 3.2.1 KF-Modem 主板 11 3.3 通信接口 12 3.3.1 RS-232( 两线 ) 12

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

untitled

untitled Delphi 2 3 Delphi 4 5 Delphi 6 Delphi 2 1 3 Delphi 4 1 5 Delphi 6 1 7 Delphi 8 1 9 Delphi 10 1 11 Delphi 12 1 13 Delphi 14 1 15 Delphi 16 1 17 Delphi 18 1 19 Delphi 20 1 21 Delphi 22 1 23 Delphi DISTR

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 0 1 1 2 1

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编 目录 1 CSI24WC0 1/02/04/08/16.2-10 2 CSI24WC32/64...11-18 3 CSI24WC128....19-26 4 CSI24WC256....27-34 1 CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS

More information

微机原理与接口技术 郭玉洁

微机原理与接口技术 郭玉洁 微机原理与接口技术 郭玉洁 实验安排 汇编语言程序设计实验编程测验硬件接口应用实验综合应用实验 2 学时 1 学时 4 学时 4 学时 一 实验内容 二 实验目的 三 实验方法 实验报告要求 1 文字叙述设计思路 2 流程图 四 实验源程序 ( 必要的文字注释 ) 五 实验结果 六 实验中遇到的问题及解决方法 七 心得体会 ( 学会编程 调试等学习的方法 ) 汇编语言实验内容 1 学习并掌握 IDE86

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - SMB-63-2(簡体字).doc

Microsoft Word - SMB-63-2(簡体字).doc 补 充 说 明 书 ABSODEX AX9000TS/TH-U3 (PROFIBUS-DP 规 格 ) SMB-63C-2 前 言 承 蒙 购 置 本 公 司 的 ABSODEX, 至 为 感 谢 ABSODEX 是 为 了 精 准 灵 活 地 驱 动 常 规 产 业 用 的 组 装 设 备 检 测 设 备 的 间 歇 作 动 回 转 工 作 台 等 而 研 发 的 直 接 驱 动 的 分 度 装

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

USB_SER.DOC

USB_SER.DOC USB 转串口模块 1 1 概述 USB 转串口的模块说明 版本 : 1C http://wch.cn 用于 USB 转串口的模块根据硬件电路以及接口的不同, 可以细分为 4 种 :S 版 - 模块 T 版 - 模块 R 版 - 模块 C 版 - 模块 具体差别参考下表, 外观照片附后 另外还有几种正式 OEM 产品请参考 CH340 芯片的 PCB 资料, 如 :T9T 版 (USB 转 9 线

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

USR-TCP232-XX系列模块设置协议

USR-TCP232-XX系列模块设置协议 UART ETH E 系列模块设置协议 注意 : 通讯协议有两个版本, 针对 V4.2 固件版本之后的协议, 请看每段的标题 (E45 系列支持新版本的协议, 但只能在静态 IP 模式下 ) 新版本固件向前兼容, 如果不用到新功能 ( 独立 ID 和子网掩码 ), 依然可以用老协议进行操作 版本更新历史 V1: 版本建立 V3: 增加 USR-TCP-E,-400,-500 的串口设置命令 V4:

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

CH365DS1.DOC

CH365DS1.DOC CH365 中文手册 ( 一 ) 1 1 概述 PCI 总线接口芯片 CH365 中文手册版本 :1D http://wch.cn CH365 是一个连接 PCI 总线的通用接口芯片, 支持 I/O 端口映射 存储器映射 扩展 ROM 以及中断 CH365 将 32 位高速 PCI 总线转换为简便易用的类似于 ISA 总线的 8 位主动并行接口, 用于制作低成本的基于 PCI 总线的计算机板卡, 以及将原先基于

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

CH376DS1.DOC

CH376DS1.DOC CH376 手册 ( 一 ) 1 1 概述 U 盘和 SD 卡文件管理控制芯片 CH376 手册版本 : 1 http://wch.cn CH376 是文件管理控制芯片, 用于单片机系统读写 U 盘或者 SD 卡中的文件 CH376 支持 USB 设备方式和 USB 主机方式, 并且内置了 USB 通讯协议的基本固件, 内置了处理 Mass-Storage 海量存储设备的专用通讯协议的固件, 内置了

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

Microsoft Word - ha0111t.doc

Microsoft Word - ha0111t.doc 文件编码 :HA0111S 简介 本范例用来实现 HT46RU66 中 UART 的接收功能,HT46RU66 将通过 UART 将接收到的资料在 LCD 上显示出来, 如果接收出错, 还可以显示错误状态 PA 口外接拨码开关, 用于设置 UART 的工作模式 硬件方块图 +,, EI F = O 6 : 4 : 0 6 " $ 4 7 $ $ 2 ) 7 ) 4 6. K? JE 5 J= JK

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500026-Z-10 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 LIN/UART API 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-3-3 Jane, Li 初稿 本手册包含 29 页 1. 本文档记载的产品信息及规格如有变动, 恕不预先通知 如需最新产品信息和 / 或规格, 联系富士通销售代表或富士通授权经销商

More information

Microsoft Word - HYM _CN.doc

Microsoft Word - HYM _CN.doc 低功耗, 限摆率 RS-485/RS-422 收发器 HYM481/483/485/487-491/1487 一 概述和特性 HYM481 HYM483 HYM485 HYM487-HYM491 以及 HYM1487 是用于 RS-485/RS-422 通信的低功耗收发器, 每个器件中都具有一个驱动器和一个接收器 HYM483 HYM487 HYM488 以及 HYM489 具有限摆率驱动器, 可以减小

More information

MPW 项目简介

MPW 项目简介 概述 TM1621 是内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 功能特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

家多了解 0M 系统 波特率 : 552,553,250,251(I/O 为 0,1,2,3) 停止位 :02#0,12#0,50#0,51#0(I/O 为 0,1,2,3) EIA 码和 ASCII 码的选定 :02#3,12#3,50#3,51#3(I/O 为 0,1,2,3) 51#4: 是否

家多了解 0M 系统 波特率 : 552,553,250,251(I/O 为 0,1,2,3) 停止位 :02#0,12#0,50#0,51#0(I/O 为 0,1,2,3) EIA 码和 ASCII 码的选定 :02#3,12#3,50#3,51#3(I/O 为 0,1,2,3) 51#4: 是否 FANUC 的通讯参数设置 机床通迅参数包括以下几个方面 : 0MD 为 1 波特率 4800 2 数据位 7 3 停止位 2 4 奇偶校验偶 5 ASCII 码或 EIA 码选定 ASCII 6 握手协议 software 18M 为 0MD 为 1 波特率 9600 2 数据位 7 3 停止位 2 4 奇偶校验偶 5 ASCII 码或 EIA 码选定 ASCII 6 握手协议 software

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 1 高速同步通信... 2 1.1 SDLC-ETH 高速串口以太网转换器... 2 1.2 SDLC-PCIE 高速同步串口卡 --- PCI Express 总线接口卡... 5 1.3 SDLC-HCM 高速嵌入式通信模块... 7 2 HDLC 通信... 9 2.1 HDLC-ATC 空管数据通信服务器... 9 2.2 HDLC-ETH 串口以太网转换器 --- 双网口协议转换器...

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

GSM模块TC35及其外围电路设计.doc

GSM模块TC35及其外围电路设计.doc GSM 模块 TC35 及其外围电路设计 GSM Module TC35 and its Peripheral Circuit Design 大连海事大学信息工程学院大连 116026 闫俊夏志忠 摘要 介绍了 Siemens 公司的 GSM 模块 TC35 TI 公司的电平转换芯片 MAX3238, 以及由其组成的移动终端, 指 出了实际设计中出现的问题和解决办法 关键词 GSM 模块 ; 电平转换

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

用户软件设计手册

用户软件设计手册 DSDPV1 系列芯片用户硬件设计手册 V1.0 北京鼎实创新科技股份有限公司 2016.8 目录 第一章技术指标...1 一 技术指标...1 第二章 DSDPV1-RSU 芯片硬件设计说明... 3 一 外观图...3 二 DSDPV1-RSU 芯片封装 (BGA256)... 3 三 DSDPV1-RSU 管脚定义...4 四 关于推荐电路... 8 1. 获取方式... 8 2. 网址及联系方式...

More information