MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

Size: px
Start display at page:

Download "MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#"

Transcription

1 MSP430 MSP # #

2 MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

3 MSP430 MSP FLASH,, 16, 64K,, P USART DCO, 8M FLASH,, JTAG FET(FLASH EMULATION TOOL),, 100mw ( 14mA ),,, MSP430,, DSP,, MSP430, MSP430,,, MSP430 F149 MSP430, F149 1 : EW23,,, C SPY,,,, : 63#

4 MSP430 (1),, ( ), (2), C SPY, project options xlink include ( )xcl $TOOLKIT_DIR$\icc430\msp430F149Axcl, C SPY drive simulator FLASH EMULATION TOOL, 430 simulator, 430, FLASH EMULATION TOOL (3) 430 C,, (4) C SPY, Control Reatime (5), 58 /,, 2 : JATG F149 RST TCK TDI TDO TMS,, 1, 0FFFEH,,,, JATG,, F149, F149 DCO,, 0FFFE PC,PC,, 18v, 3v,, RST 63#

5 MSP430 MSP430,, 1 #include 2, : #include "MSP430x14xh" /*,, RSEG UDATA0 /* 0200H, 0200H 09FFH DS 0 /*, 0, DS N, N, (0200+N)H ADINPUT EQU 00200H /* 0200H ADINPUT, 0200H ADINPUT,, : A DW 5H /* A 5H,,, RSEG CSTACK /* DS 0 /* 0H, RSEG CODE /* 1 DS 0 /* 1 RESET /*,, MOV #SFE(CSTACK),SP MOV #(WDTHOLD+WDTPW),&WDTCTL /* /* ( ) COMMON INTVEC /* /* ORG XXX 1 / *XXX1 1 DW YYY1 /*YYY, ORG XXX2 /* XXX2 2/ DW YYY2 /*YYY2, ORG RESET_VECTOR /*,, DW RESET /* END /* 3 :,,,CALL # 1 63#

6 MSP430 MOV #(WDTHOLD+WDTPW),&WDTCTL WDTCTL WDTHOLD WDTPW 4 EQU = SET VAR ASSIGN = EQU SET VAR ASSIGN DB DW AA DB 2H /* 2H AA 2H AA MSP430F149 MSP430F149 MSP430 PC SR GIE EINT IFG RETI 1 MSP430 64K 63#

7 MSP430 0H 0FH 010H 0100H 0200H 9FFH 0A00H FC0H 10FFH FFE0H-- SFR 0FFH 01FFH RAM FFFFH FBFH 1100H FFDFH IE IFG I/O TIMER FLASH FLASH MEM ADC ROM 200H 100 MAIN MOV #0200H,R6 /* 200H R5, R5( ) MOV #100,R4 /* 100 LOOP1 MOVW 0(R6),R5 /* ADD #2,R6 / * ; / * MOVW R5,0(R6) / * SUBB #1,R4 /* 100 CMP #0,R4 JNZ LOOP1 R5 200H H CPU, CPU,,,CPU,,, : ( ) MOV #138H,R4 MOV #-45H,&MPYS MOV #35H,0(R4) MOV RESLO,R5 /* 2 138H, /*, MPYS /* /* R5 63#

8 MSP430 MOV RESHI,R6 /* R6 MOV SUMEXT,R7 /* R7 R7 R6 R5-45*35 FFFFFFFFF1B7H 1, P MSP430F P P1 P2 16 P P P P P 24WCXX 8563 I 2 C P P MAIN MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL/* LOOP2 BIS #GIE,SR /* EINT /* MOVB #000H,&P1DIR /* P1 MOVB #000H,&P1SEL /* P1 P MOVB #002H,&P1IE /*P11 MOVB #000H,&P1IES /* P11 JMP LOOP2 /* /* LOOP1 MOVB #001H,&P1DIR /* P10 MOVB #001H,&P1OUT /* P10, MOVB #000H,&P1IE /* PC MOVB #000H,&P1OUT /* P10, RETI /* COMMON INTVEC /* ORG PORT1_VECTOR DW LOOP1 /* LOOP1 END P11,PC LOOP1,,P0,,, 63#

9 MSP430 MSP F149 MSP430F149 PWM PWM 1 P P, Reset, MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL/* MOV #GIE,SR /* MOVB #004H,&P1SEL /* A A1 MOVB #000H,&P1DIR /* MOV #0FFFFH,&CCR0 /* FFFFH MOV #000H,&CCR1 /* 0 MOVB #004H,&P2DIR /*, MOVB #01CH,&P2SEL /* MOVB #0FFH,&P3DIR /* P3 63#

10 MSP430 MOVB #000H,&P3SEL /* P MOVB #0FFH,&P3OUT /* EINT /* LOOP1 MOVB #00CH,CACTL1 /* 0, MOVB #00FH,CACTL2 /* 1,,, MOV #08930H,&CCTL1 /* A A1 CCR1 MOV #002D2H,&TACTL /*, MOVB #000H,&P3DIR /*, CCR1 JMP LOOP1 CCR BIC #0FF0FH,&TACTL /* MOV &CCR1,R5 /* R5, I/O JMP CCR /* COMMON INTVEC ORG TIMERA1_VECTOR DW CCR /* ORG RESET_VECTOR DW Reset END, : : vcc vp VCC VCP CCR1 CCR1 CCR BH 459H 45CH 45BH 09K 09K 63#

11 MSP H 466H 463H 465H 1K 101K :R =R *(N /N ) (,N ) :,,,,,,,, DCO,,,,, PWM,, PWM, : : PWM CCR0 RAM 0 1, CCR0 CCR0 2 63#

12 MSP430 #include "msp430x14xh" RSEG UDATA0 DW RSEG CSTACK DS 0 RSEG CODE DS 0 Rese MOV #SFE(CSTACK),SP /* 450,50,350,150,250,250,150,350,50,450/* 200 H MOV #(WDTHOLD+WDTPW),&WDTCTL /* MOVB #0FFh,&P1SEL /* MOVB #0FFH,&P1DIR /* MOV #030H,&CCR0 /* CCR0 BIS #GIE,SR /* MOV #0200H,R6 /* R6 MOV #10,R4 /* 10 MOV #0090H,&CCTL0 /* CCR0 4 MOV #002E0H,&TACTL /* TA0 EINT /* JMP TA0 /* TAR=CCR0 CMPS BIC #0FFCFH,&TACTL /* ADD 0(R6),&CCR0 /* ADD #2,R6 /* 2 R6 SUBB #1,R4 /* JNZ LOOP1 /* MOV #0200H,R6 /* MOV #10,R4 LOOP1 MOV #002E0H,&TACTL /* RETI /* COMMON INTVEC ORG TIMERA0_VECTOR /* A 0 DW CMPS ORG RESET_VECTOR END DW Reset 1 LOOP1 CMPS 63#

13 MSP430 SUBB #1,R4 /* JNZ LOOP1 /* MOV #0200H,R6 /* MOV #10,R4 LOOP1 MOV #002E0H,&TACTL /* RETI 2 CCR0 CCR1 CCR2 CCR0 CCR1 CCR2 3 0 CCR AD 10 5 A CCR0 CCR1 CCR2 PWM MAX 2KHZ 8MHZ 05% : T T MSP430F149, DCO, 1, 1042KHZ;,, 8MHZ,8M,,,,, 63#

14 MSP430, 149,,, MAIN MOV #SFE(CSTACK),SP / * MOV #(WDTHOLD+WDTPW),&WDTCTL/* BISB #010H,&P5DIR /* BISB #010H,&P5SEL /* BISB #000H,&BCSCTL2 /* 1,DCO MCLK /* KHZ, 1:1, BCSCTL2,,, USART MSP430F149, USART RS202 RS485, MSP430F149, RS202 RS485 MSP430, MSP430,,,MSP430,,,,,, RS202,,, MSP430,, =BRCLK/(UBR+(M7+M6+M5+M4+M3+M2+M1+M0)), BRCLK,UBR,, M7,M6,M5,M4,M3,M2,M1,M0, UMCTL,,,, 63#

15 MSP430 URB M0, UBR M1, MSP430, 1,,,, 1,,,, 2,, 33V,,, MSP430F A/D, 8,3,1,,, 63#

16 MSP430 A/D, A/D, A/D :,,,,, A/D 1, : 1 MSP430F149,, 13 ADC12CLK 0 2, ADC12CTL0 ENC ADC12SC 1 ADC12CTL0 3 NADC=4095* Vin Vr- / Vr+ Vr v A/D PCB MSP430F149 63#

17 MSP430 F A/D 3 JATG PWM MSP430F mA 4mA rzx r11x, d11x d11x rzx d11x,, 05, 6v 0mA ( 0mA 0), 63#

18 MSP430 P14, Qve1, 0v, 0mA 0, 4mA ( 4mA 0), P14, Qve1, Rve1 Rve2, Rve1 Rve2 13:2, 035v, : 1, 100,,, A/D 2, A/D,,, 3, 220v A/D, A/D, P14, DT1 T1, TRIAC, 0v JK v TR U 220v 0v 0v P44 63#

19 MSP430,,, WCxx, I 2 C, P46 SCL,P HZ R32 R WCxx I 2 C A B D D C scl sda A B C D / sda S R/ P W eeroms I 2 C 63#

20 MSP MAX202E MAX485 MAX202-15v~+15v 0~5v, 20K, 500mA, TTL EIA, MAX202, MAX202 5v, 430 3v, RM3 RM4, 430 MAX202, RM3 RM v, RM3 RM4 2 3 :RS 232-3v -15v 1 +3v +15v 0 RS 485 RU4 5v CM1 RC7 P1 0 1 CM1 RC7 MAX485 RM1 RM2 RM3 RM4 MAX232 MAX USART1 USART0 430 MSP430 MAX 202 USART v -15v~+15v 63#

21 MSP430 P 8M 8, 1,,, ASCII,, USARTS43, : ( 8M ) Ubr11 Ubr01 Umctl1 ( ) ASCII 1 003H 043H 000H % 31H DH 005H 005H % 32H H 0A1H 003H % 33H 3, =(8M/ ), =( )/( ), 430, : 1, FFH,, 31H, 1 2,, USARS43, : 1 2, 63#

22 MSP430 : MSP430,,, 18v 33v, 3v, 78v, VIN 78v, Dv2 7,8v, 78v 3v,, L4940V5( vt1) 78v 5v, MSP430 AT3221( vt33) 5v 3v, 12v 24v,,, 5v :,P12, Qv5, 6, 5, 3 4 ( 1 2 ),, 5, 1 2, 1 2, 78v, Dv2,, : mclk, Qv3 Qv4, L1 (Qv3 Qv4 ), DV6 powout(con2) 12v 24v : L4940V5 :78v, :5v AT3221 :5v, :3v :3 4 :1 2 powin CON4 dd1 78v, dd2 vt2 24v 24v 12v,, MSP430, LFXT1, XT2,, LFXT HZ,XT2 8M,, 63#

23 MSP430, MCLK SMCLK XT2, 8M,, XT2, 8M, : ( ) BISB #030H,&P5DIR BISB #030H,&P5SEL /* mclk smclk /* mclk smclk BIC #OSCOFF,SR PUSH #050H /* LOOP DEC 0(SP) /* DCO XT2 JNZ LOOP MOVB #088H,&BCSCTL2 /* XT2 8M PWM,,, 8M, : RSEG UDATA0 DW 450,50,400,100,350,150,300,200,250,250,200,300,150,350,100,400,50,450 DW 150,350,100,400,150,350,200,300,250,250,300,200,350,150,400,100,450,50 36,36, : 9:1 1:1 1:9 1:1 9:1 1:1 1:9 1:1 63#

24 MSP430 : 1 8M 247K 455NF 1v, 800HZ 2 8M 220K 455NF 13v, 800HZ 3 8M 200K 455NF 14v, 800HZ 4 DCO 247K 455NF 1v, 800HZ 5 DCO 220K 455NF 13v, 800HZ (,, ): 1v,, 800Hz,,,, 5:30,,, JK1 3 4 P1 4 : 1 MSP430 FLASH #

25 MSP430 1USARTs43 #include "MSP430x14xh" RSEG UDATA0 /* DS 0 RSEG CSTACK /* DS 0 RSEG CODE /* 1 DS 0 RESET MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL /* BISB #030H,&P5DIR /* 8M BISB #030H,&P5SEL BIC #OSCOFF,SR PUSH #050H LOOP DEC 0(SP) /* JNZ LOOP BICB #OFIFG,&IFG1 MOVB #088H,&BCSCTL2 MOVB #040H,&P3DIR MOVB #0FFH,&P3SEL EINT /* URT MOVB #UTXE1,&ME2 /*USART0 MOV #GIE,SR /* MOVB #010H,&UCTL1 /* MOVB #02EH,&UTCTL1 /* MOVB #043H,&UBR01 /* MOVB #003H,&UBR11 MOVB #000H,&UMCTL1 /* MOVB # UTXIE1,&IE2 /*USART0 MOVB #032H,&U1TXBUF /* JMP URT /* * / FASONG MOVB #032H,&U1TXBUF /* JMP FASONG /* RETI /* COMMON INTVEC ORG UART1TX_VECTOR /* DW FASONG ORG RESET_VECTOR DW RESET END 2 Usars43 #include "MSP430x14xh" RSEG UDATA0 DS 0 RSEG CSTACK DS 0 RSEG CODE DS 0 63#

26 MSP430 RESET MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL /* MOVB #02EH,&UTCTL1 / * MOVB #043H,&UBR01 /* MOVB #003H,&UBR11 MOVB #000H,&UMCTL1 BISB #030H,&P5DIR /* BISB #030H,&P5SEL MOVB #040H,&BCSCTL1 /* BIC #OSCOFF,SR PUSH #050H LOOP DEC 0(SP) JNZ LOOP BICB #OFIFG,&IFG1 MOVB #088H,&BCSCTL2 MOVB #000H,&P3DIR MOVB #0FFH,&P3SEL MOV #0200H,R6 EINT MOVB #URXE1,&ME2 /*USART0 MOV #GIE,SR MOVB #URXIE1,&IE2 MOVB #020H,&UTCTL1 BICB #URXSE,&UTCTL1 BISB #URXSE,&UTCTL1 MOVB #010H,&UCTL1 /* MOVB #008H,&URCTL1 MOVB #043H,&UBR01 /* MOVB #003H,&UBR11 MOVB #000H,&UMCTL1 MOVB #URXIE1,&IE2 /* EINT URT MOVB #URXIE1,&IE2 JMP URT /* RECEI MOVB &U1RXBUF,0(R6) /* MOVB #000H,&IE2 /* RETI /* COMMON INTVEC /* ORG UART1RX_VECTOR DW RECEI ORG RESET_VECTOR DW RESET END 3 Eeroms43 #include "msp430x14xh" ORG 0FFFEH /* DW Reset RSEG UDATA0 DS 0 RSEG CSTACK DS 0 63#

27 MSP430 RSEG CODE DS 0 Reset MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL/* CALL #XIE /* DU1 CALL #DU /* JMP DU1 /* CUSHIHUA / * CALL #QIDONG /* CALL #SONG1 /* CALL #SONG10 /* 1 0 CALL #SONG1 /* 0 1 CALL #SONG10 /* 1 0 /* 0 0 RET XIE CALL #CUSHIHUA /* /*, 0 CALL #YINDA /* , CALL #SONG1 /* CALL #SONG10 CALL #YINDA /* CALL #SONG1 /* CALL #SONG11 /* 1 1 CALL #SONG10 CALL #SONG1 CALL #SONG11 CALL #SONG10 CALL #YINDA /* MOVB #060H,&P4DIR /* SDA MOVB #000H,&P4OUT /* SDA SCL, CALL #TINGZHI /* RET /* DU CALL #CUSHIHUA /*, /* 0 CALL #YINDA /* CALL #SONG1 /* CALL #SONG10 63#

28 MSP430 CALL #YINDA /*, MOVB #000H,&P4OUT /*, MOVB #060H,&P4DIR CALL #QIDONG1 /* CALL #SONG1 /* CALL #SONG10 CALL #SONG1 CALL #SONG10 CALL #SONG1 /* 1 CALL #YINDA /* CALL #DUSHU /* CALL #DUSHU CALL #DUSHU CALL #DUSHU CALL #DUSHU CALL #DUSHU CALL #DUSHU CALL #DUSHU CALL #TINGZHI /* RET /* QIDONG MOVB #060H,&P4OUT /*, SCL,SDA 1 0 MOVB #060H,&P4DIR MOVB #060H,&P4OUT MOVB #040H,&P4OUT RET QIDONG1 /* MOVB #060H,&P4DIR MOVB #060H,&P4OUT MOVB #040H,&P4OUT RET SONG1 MOVB #060H,&P4DIR /* 0 MOVB #000H,&P4OUT MOVB #020H,&P4OUT MOVB #060H,&P4OUT CALL #WAIT1 RET SONG11 MOVB #020H,&P4OUT MOVB #060H,&P4DIR MOVB #060H,&P4OUT CALL #WAIT1 RET SONG10 MOVB #060H,&P4DIR MOVB #020H,&P4OUT MOVB #000H,&P4OUT MOVB #040H,&P4OUT 1 /* 1 1 /* #

29 MSP430 CALL #WAIT1 RET SONG00 MOVB #060H,&P4DIR MOVB #000H,&P4OUT MOVB #040H,&P4OUT CALL #WAIT1 RET /* 0 0 WAIT1 MOV #50H,R5 /* WW SUB #1,R5 JZ FAHUI JMP WW FAHUI RET YINDA MOVB #000H,&P4OUT /*, MOVB #020H,&P4OUT MOVB #040H,&P4DIR MOVB #040H,&P4OUT CALL #WAIT1 RET TINGZHI MOVB #000H,&P4OUT /*, MOVB #040H,&P4OUT MOVB #060H,&P4OUT RET DUSHU MOVB #000H,&P4OUT /* MOVB #040H,&P4OUT CALL #WAIT1 RET END, 4 A/D MAIN MOV #SFE(CSTACK),SP /* MOV #(WDTHOLD+WDTPW),&WDTCTL BB CALL #ADCHANGE JMP BB ADCHANG BIS #GIE,SR EINT MOV #0098H,&ADC12CTL0 MOVB #040H,&ADC12MCTL0 BIS #00004H,&ADC12CTL1 MOVB #000H,&P6DIR MOVB #0FFH,&P6SEL BIS #ENC,&ADC12CTL0 BIS #00001H,&ADC12CTL0 CLR &ADC12MEM0 RET END 63#

30 MSP430 MSP FLASH A/D PWM 8M PCB MSP430 A/D USART 24WCXX 8563 PWM AAT3221 FLASH Abstract: MSP430 is a kind of Single-Chip CPU that is presented 16 bit bus and has FLASH memory Many people will like it because it has a lot of resources in the chip and it runs in high speed and high precision We use its resource and realize A/D switch correspondence between compute and Single-Chip CPU external memory to come into being PWM wave comparison and measure to enumerate and capture to come into being 8 M pulses the multiplication of hardware and so on We can use the PCB industry to realize low power and low radiation and low pollution in the control of KEYWORD:MSP430 A/D switch USART 24WCXX 8563 Comparison Enumerate Pwm Multiplication of Hardware Manager of Power Enumerate and Capture AAT3221 FLASH 63#

31 MSP430 63#

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled 0571-89908088 89908091 www.lierda.com MSP430X11X2,MSP430X12X2 :1.8V-3.6V -:1MHz 2.2V 200 ua -:0.7 ua -(RAM ):0.1uA 6us 16, 125us -DCO -DCO - 32kHz - 16MHz - - 3 / 16 Timer_A 200ksps 10 A/D,,,. (USART0)(MSP430X12X2),

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

untitled

untitled MSP430F22X4 :1.8V-3.6V -:1MHz 2.2V 250 ua -:0.7 ua -(RAM ):0.1uA 1us 16, 65ns -16MHz ±1% - - 32kHz - 16M - - - 3 / 16 Timer_A 3 / 16 Timer_B 10 A/D 200ksps (USCI) - UART LIN -IrDA - SPI -I2C Bootstrap

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

LSTA126中文操作说明

LSTA126中文操作说明 LSE126 洗 干 衣 机 使 用 说 明 阅 读 使 用 说 明 注 意! 建 议 认 真 阅 读 说 明 书 中 的 所 有 内 容, 说 明 中 包 括 了 洗 衣 干 衣 机 的 合 理 安 全 的 使 用 方 法 请 将 说 明 书 保 管 好, 以 备 将 来 参 考 销 售 和 转 卖 时, 确 保 将 说 明 书 随 机 带 上, 以 提 供 必 要 的 使 用 信 息 1. 安

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

untitled

untitled 0.37kW 250kW D11.7 2009 SINAMICS G120 0.37kW 250kW SINAMICS G120 Answers for industry. SINAMICS G120 0.37kW 250kW SINAMICS G110 D 11.1 0.12 kw 3 kw CA01 MC CA01 MC CD : E20001-K20-C-V2-5D00 141-P90534-09020

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel 3.2.3 MPASM PIC 35 35 #include include #include MPASM include PIC MPLAB C:\Program Files\MPLAB IDE\MCHIP_Tools PIC.inc 3-01 #include ; PIC16F877A #include math.asm ; 3-01 MPASM MPLAB

More information

炉 香 在 客 家 寺 庙 中 也 是 极 普 遍 的 故 客 家 妇 女 一 个 人 可 以 信 仰 一 位 神 明, 也 可 以 同 时 信 仰 若 干 位 神 明, 她 们 的 信 仰 活 动 是 自 由 和 放 任 的 她 们 的 信 仰 是 宗 教 发 展 融 汇 到 人 们 的 生 活

炉 香 在 客 家 寺 庙 中 也 是 极 普 遍 的 故 客 家 妇 女 一 个 人 可 以 信 仰 一 位 神 明, 也 可 以 同 时 信 仰 若 干 位 神 明, 她 们 的 信 仰 活 动 是 自 由 和 放 任 的 她 们 的 信 仰 是 宗 教 发 展 融 汇 到 人 们 的 生 活 第 八 章 信 仰 禁 忌 信 仰 是 人 对 某 种 理 论 学 说 主 义 或 人 等 的 信 服 和 崇 拜, 是 带 有 个 性 倾 向 特 征 的 心 理 状 态 人 类 的 宗 教 信 仰 与 人 类 的 历 史 几 乎 一 样 久 远 早 在 氏 族 制 度 时 代, 由 于 科 学 和 生 产 力 水 平 低 下, 人 们 对 自 然 界 的 认 识 受 到 极 大 的 限 制, 在

More information

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂

26 头 孢 他 啶 注 射 剂 27 头 孢 他 美 酯 口 服 常 释 剂 型 28 头 孢 吡 肟 注 射 剂 29 头 孢 硫 脒 注 射 剂 30 头 孢 唑 肟 注 射 剂 31 头 孢 替 安 注 射 剂 32 头 孢 哌 酮 注 射 剂 33 头 孢 哌 酮 舒 巴 坦 注 射 剂 江 西 省 新 农 合 基 本 用 药 目 录 第 一 部 分 西 药 部 分 序 号 药 品 名 称 剂 型 备 注 一 抗 微 生 物 1. 抗 生 素 类 1 青 霉 素 注 射 剂 2 普 鲁 卡 因 青 毒 素 注 射 剂 3 苯 唑 西 林 注 射 剂 4 氨 苄 西 林 口 服 常 释 剂 型 注 射 剂 5 氨 苄 西 林 丙 磺 舒 口 服 常 释 剂 型 6 青 霉 素 V 口

More information

untitled

untitled I G B T S310 220V 0.4~1.5KW (0.88~2.9KVA) : : 65-C : 0510-85227555() : 0510-85227556 http://www.taian-technology.com VER:06 2011.7 S310 0.1 0.2 1.1 1.1.1 1.1.2 1.1.3 1.1.4 1.1.5 3.1 3.2 3.3 3.3.1 3.3.2

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

庄子.doc

庄子.doc 2 3 1995 11 4 771 5 94 1993 221 6 7 8 368 268 100 300 325 250 284 300 1995 8 12 9 10 277 1964 11 12 13 A=A 277 1964 14 1 15 16 17 18 19 20 21 [+ ] 22 23 24 25 26 1990 27 28 1978 29 30 31 32 33 34 35 36

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9>

<4D6963726F736F667420576F7264202D20332E313220D7A8D2B5D6F7B8C9BFCEB3CCBACDD6F7D2AAD7A8D2B5BFCEB3CCB5C4BDCCD1A7B4F3B8D9> 西 北 师 范 大 学 计 算 机 科 学 与 技 术 专 业 课 程 教 学 大 纲 高 等 数 学 Ⅰ 教 学 大 纲 一 课 程 性 质 本 课 程 为 工 科 类 学 生 必 修 的 重 要 基 础 理 论 课 它 为 培 养 我 国 社 会 主 义 现 代 化 建 设 所 需 要 的 高 质 量 专 门 人 才 服 务 的 二 教 学 目 的 本 课 程 的 教 学 目 的 是 使 学 生

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

网络分析仪

网络分析仪 5. A 5-, N l, N Hl 5- MKS l N r N H A/m l 5-a 5- CGS r 0.4πN H l (Oe) 5-b (CGS )Oe, MKS 0 (Oe) A/m 0.4π 5-. 5- N A A dψ d( BAN) e dt dt A N A B 5- db NA (5-) dt d ψ / dt. 5- H B B µh dh NAµ dt in H l N

More information

(3)ADC12Ó¦Ó÷¶Àý

(3)ADC12Ó¦Ó÷¶Àý MSP430 单片机入门应用系列例程 V1.0 (3)ADC12 应用范例策划 : 微控设计网 DC 例 3.1 ADC12- 使用外部参考电压 简述 : 使用单通进行 ADC 转换, 电压参考源来自外部 ADC12 的 Vr+=VeREF+,Vr-=Avss; Vr+ Vr- 是 ADC12 模块的最大值和最小值的参考电压源 当输入模拟电压信号等于或高于 Vr+ 时,ADC12 转换满幅输出, 此时输出值为

More information

D103605X0CN_Jan19

D103605X0CN_Jan19 DVC6200 Fisher FIELDVUE DVC6200 HC AD PD ODV 1309 2 7 1 3 7 1 1... 3... 3... 3... 3... 3... 5... 5... 8 2... 9... 9 HART... 9... 9... 11... 12... 12 333 HART Tri Loop HART... 13 3... 15... 15... 15...

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). ( . (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). 1950 (A) (B) (C) (D) (E). 60 70 (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) (

More information

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP   2 2 FH-RFSS321-I (TD-SCDMA ) TD-SCDMA / MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP http://www.beijing-wri.com 2 2 1...7 1.1...7 1.2...7 1.3...7 1.4...8 1.5...9 1.6...10 1.7...10 1.8

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD ULTRAMAT 6 2 2 3 4 5 6 8 8 9 10 12 13 14 16 19 22 23 23 24 25 27 28 29 32 34 35 36 37 38 39 19 ULTRAMAT 6E ULTRAMAT 6E-2P 2 ULTRAMAT 6E-2R/3K 2~3 TÜV ULTRAMAT 6F ULTRAMAT 6F-2R 2 TÜV 1 BARTEC EEx p 2 MiniPurge

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I 78 SZ/SH/SM 55 ON OUT/ZOUT OUT OUT AOUT ZOUT SZ3 SZ4 SH SM SZSHSM I000~I177 I000~I477 I000~I077 I000~I077 1 Q000~Q177 Q000~Q477 Q000~Q077 Q000~Q077 M000~M377 M000~M377 M000~M377 M000~M377 1. ON OFF 2.OUT

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

untitled

untitled \ \ \ DOP11B 06/2011 16929837 / ZH SEW-EURODRIVE Driving the world 1 5 1.1 5 1.2 5 1.3 6 1.4 6 1.5 6 1.6 6 1.7 6 2 7 2.1 7 2.2 7 2.3 8 2.4 8 2.5 8 2.6 9 2.7 / 11 2.8 11 2.9 11 2.10 11 2.11 12 3 (DOP11B-10

More information

一、概述

一、概述 (HYC26 2 1KV RS232 RS485 MODEM SCADA 2.1 HYC26 2.2 (A/D 2.3 2.4 2.5 2.6 45 55Hz 2.7 2.8 5 2.9 2.1 2.11 MODEM( 3. 1 +24V 3 1V 22V 22V PT 5A 5Hz 3. 2 +24V +12V 7AH 3. 3 ( 5W 7W.5VA/.75VA/ 3. 4 1.2Un 1.2In

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

#!$ %" & ( &)*+,((&-,./ )01,+2 ( /., )>2/ 80;2 +&,($ J &( > =.>? =0+ 9, *,0*., 0= )>2/ 2> &02($ J &( > A.;, % 9 > )>* 0= &2 9, )&11.,

#!$ % & ( &)*+,((&-,./ )01,+2 ( /., )>2/ 80;2 +&,($ J &( > =.>? =0+ 9, *,0*., 0= )>2/ 2> &02($ J &( > A.;, % 9 > )>* 0= &2 9, )&11., #$$%!&$!#$! $!!$!#$!$$!!$ $( )) *#( )!& #+!,+!-+ ##+ " $( )) #) #% #* # % & &% &- )! %$ %,#,& *$! )"./.0." " " " %!$" 0./00 &!( 0" N9 625A>26( ( ( ;4L 25G9L( ( (!!!)" /000.!% #$" 0.0// &#(." 9E94 D: #!(

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

(2) Function 0BH: Function 0CH: (pixel, picture element) Function 0DH: Function 0FH: Function 13H:

(2) Function 0BH: Function 0CH: (pixel, picture element) Function 0DH: Function 0FH: Function 13H: (1) INT 10H Function 00H: Function 01H: Function 02H: Function 03H: Function 05H: Function 06H: Function 07H: Function 08H: Function 09H: Function 0AH: (2) Function 0BH: Function 0CH: (pixel, picture element)

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information