MSP430 Launchpad 指导书

Size: px
Start display at page:

Download "MSP430 Launchpad 指导书"

Transcription

1 MSP430 Launchpad 指导书 徐珺

2 Contents 第一部分第一个工程... 3 第二部分中断和计时器 第三部分 UART... 16

3 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 在这一部分中, 我们将介绍 CCS 集成开发环境的一些基本 使用方法, 并试着编写一个简单的小程序, 实现按键打开 LED TI 的 Launchpad 板本身是完整的 MSP430 开发环境, 我们所需要做的仅仅是下载安装 CCS 集成开发环境 ( 也可以用 IAR 等开发环境, 这里我们选用 CCS), 用 Mini-USB 线把 MSP430 连接到电脑, 你就可以开始编写代码了 Figure 1-1 MSP430 外观 现在我们就试着在 CCS 中新建一个新的工程 我们将写一个简单的小程序, 实现按键打开 LED 灯的功能 New Project 1. 新建 CCS workspace 打开 CCS, 选择 workspace 路径, 通常只需要第一次设置为默认 注意, 路径中不要使用中文

4 File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project (with main.c) 2. 创建一个 Finish! CCS 工程

5 MSP430 LAUNCHPAD 指导书 5 3. 可以编写自己的程序了! #include "msp430g2553.h" //Contains definitions for registers and built-in functions 我们的第一行代码 : 这个头文件包含了 MSP430G2553 的寄存器定义和内置函数, 如果你使用的是其他型号的芯片, 例如 MSP430G2231, 你需要包含的头文件便是 "msp430g2231.h" 接着, 是主函数部分 void main(void)// Main program WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer P1DIR = BIT0 // Set P1.0 to output direction P1OUT &= ~BIT0; // set P1.0 to Off P1REN = BIT3; // P1.3 Pull up Enabled P1IES = BIT3; // P1.3 Interrupt at Falling Edge P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear P1IE = BIT3; // P1.3 interrupt Enabled _EINT(); // Enable all interrupts while(1) //Loop forever, we'll do our job in the interrupt routine... 这仅仅是一个初始化过程, 我们还没有写有关开关 LED 灯的代码 WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer 这一行中, 我们关闭了看门狗计时器 它的主要功能是在单片机运行了一段时间后重置单片机, 以防止程序陷入无限死循环

6 在大多数例程中, 你会发现在初始化过程中都会关闭看门狗, 以避 免不必要的重置 P1DIR = BIT0; // Set P1.0 to output direction 这一行中, 我们将 P1.0 引脚设置为输出 (P1.0 与单片机上 Led1 相连 ) 在 MSP430 中,P1DIR 是一个控制 Port1 引脚方向 的八位寄存器 如果你把某一位配置为 0, 则它作输入用, 反之是 输出 BIT0 是一个系统定义的常量, 即 0x01 P1OUT &= ~BIT0; // set P1.0 to Off 这一行中, 我们将 P1.0 引脚默认输出配置为低, 这样在系统上 电的时候 LED 灯一定是灭的 P1OUT 寄存器控制着 PORT1 上所 有配置为输出的引脚, 如果你把对应位配置为 1( 通过将 P1OUT 与 上对应的常数如 BIT0,BIT1 等 ), 那么对应位的输出就是高电平, 反之输出低电平 P1REN = BIT3; // P1.3 Pull up Enabled P1IES = BIT3; // P1.3 Interrupt at Falling Edge P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear P1IE = BIT3; // P1.3 interrupt Enabled 这几行中, 我们配置了 P1.3 的中断功能, 其中 P1.3 与板上的 S2 按键相连 什么是中断? 我们希望单片机知道我们什么时候按下 了按键, 无论单片机当前所执行的任务是什么, 这就需要使用中断 功能 中断的具体内容后面会详细介绍, 这里只简述上面所执行的 内容 : P1REN = BIT3 为 P1.3 配置了上拉电阻 P1IES = BIT3; 配置 P1.3 为下降沿有效 P1IFG &= ~BIT3 清除 P1.3 的中断标志位 P1.3 中断使能 P1IE = BIT3 _EINT(); // Enable all interrupts

7 MSP430 LAUNCHPAD 指导书 7 这一行是一个内置函数, 它打开的所有中断功能 while(1) //Loop forever, we'll do our job in the interrupt routine... 在进行完简单的配置后, 我们终于进入了循环 注意到这是一个无 限空循环, 接下来的开关灯的工作就全交给中断函数了 #pragma vector=port1_vector interrupt void Port_1(void) P1OUT ^= BIT0; //Toggle P1.0 P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear 这是 PORT1 的中断处理函数, 每当 P1.3 引脚从高电平变为低电平时 (Launchpad 上的按键是低电平有效的, 这也是为什么配置时是下降沿有效触发中断 ), 中断处理函数便会被调用 P1OUT ^= BIT0; //Toggle P1.0 这一行用异或操作翻转 LED 灯的状态 P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear 这一行用来清除中断标志位, 从而下次触发中断依然有效 如果一切顺利的话, 现在我们可以把我们的程序下载到单片机运行了

8 4. 将程序下载到单片机 这个是编译按钮, 如果编译顺利通过的话就可以下载到单片机了 这个是 Debug 按钮, 编译通过之后, 我们按这个按钮进入 DEBUG 模式 按红色按钮终止 DEBUG 模式 至此, 我们的开关 LED 程序已经下载到单片机中, 如果你观察 到红色的 LED 灯会随着按键亮灭, 那么恭喜你, 你已经完成了第一 个 MSP430 的项目

9 MSP430 LAUNCHPAD 指导书 9 这是 DEBUG 模式的界面状态, 我们可以单步调试程序以观察没运行一步单 片机以及每一个寄存器 每一个变量的状态, 以调试程序, 检查错误等 #include "msp430g2553.h" //Contains definitions for registers and built-in functions void main(void)// Main program WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer P1DIR = BIT0; // Set P1.0 to output direction P1OUT &= ~BIT0; // set P1.0 to Off P1REN = BIT3; // P1.3 Pull up Enabled P1IES = BIT3; // P1.3 Interrupt at Falling Edge P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear P1IE = BIT3; // P1.3 interrupt Enabled _EINT(); // Enable all interrupts while(1) //Loop forever, we'll do our job in the interrupt routine... #pragma vector=port1_vector interrupt void Port_1(void) P1OUT ^= BIT0; //Toggle P1.0 P1IFG &= ~BIT3; // P1.3 Interrupt Flag Clear 以下是这一节的完整代码 :

10 在这一部分中我们将会初步了解到中断的概念及其作用, 我们会尝试使用计时器中断和 I/O 中断操作 LED 灯, 让我们开 第二部分中断和计时器 什么是中断? 我们可以将它理解为一个约定的信号, 来告知单片机特定的事件发生了, 引起程序从正常运行的主函数中断开, 转而执行中断处理程序, 处理特定的事件 中断是一个非常重要的概念, 它可以让处理器免于执行冗余的轮询操作等待特定的外部事件的发生 在 MSP430 的架构中, 有许多种类的中断 : 计时器中断,I/O 中断,ADC 中断等等 每一种中断在使用前都要使能和配置, 每一种中断又分别有中断处理程序 (Service Routine) 下面就让我们尝试写一个小程序, 实现使用计时器中断和 I/O 中断操作 LED 灯 New Project #include "msp430g2553.h" void main(void) WDTCTL = WDTPW + WDTHOLD; // Stop WDT 按照惯例, 首先包含 g2553 的头文件, 关闭看门狗 每次写程 序的时候你总会用到它们 CCTL0 = CCIE; // CCR0 interrupt enabled TACTL = TASSEL_2 + MC_1 + ID_3; // SMCLK/8, upmode TACCR0 = 10000; // 12.5 Hz

11 MSP430 LAUNCHPAD 指导书 1 1 这几行简单配置了计时器中断 CCTL0 = CCIE; // CCR0 interrupt enabled 我们首先通过置 CCTL0(Timer_A capture/compare control 0) 寄存器的 CCIE 位 (Capture/compare interrupt enable) 使能了计时器中断 TACTL = TASSEL_2 + MC_1 + ID_3; // SMCLK/8, up mode 然后我们通过 TACTL(Timer_A control) 寄存器配置了计时器的时钟 如果查阅一下 MSP430 的手册, 你会看到之后几位分别表示什么含义 : TASSEL_2 选择了 SMCLK 时钟 ( 由内部 DCO 支持, 默认频率大约为 1MHz); MC_1 选择了上升模式 (up mode), 即计时器计数的时候由小至大, 计数上限由 TACCR0(Timer_A capture/compare 0) 寄存器决定, 由此就有 TACCR0 = 10000; // 12.5 Hz 你一定猜到了配置的结果 12.5Hz 是怎么得来的了吧, 1M/8/10000=12.5Hz, 这就是产生计时器中断的频率 通过选择不同的时钟源, 不同的时钟分频, 不同的计数上限, 你几乎可以配置出任何你想要的频率, 需要注意的是,MSP430 的寄存器都是 16 位的, 所以 TACCR0 的上限是 我们继续完善我们的程序 P1OUT &= 0x00; // Shut down everything P1DIR &= 0x00; P1DIR = BIT0 + BIT6; // P1.0 and P1.6 pins output P1REN = BIT3; // Enable internal pull-up/down resistors P1OUT = BIT3; // Select pull-up mode for P1.3

12 这几行代码我们应该已经熟悉了 我们首先清空了 PORT1 的输 出寄存器和方向寄存器, 然后配置板上两个 LED 所对应引脚为输出, 为按键对应的引脚配置上拉电阻

13 MSP430 LAUNCHPAD 指导书 1 3 P1IE = BIT3; P1IES = BIT3; P1IFG &= ~BIT3; // P1.3 interrupt enabled // P1.3 Falling edge // P1.3 IFG cleared 这几行代码中, 我们首先使能了 P1.3 引脚的中断功能, 然后我们选择了下降沿触发中断 ( 高电平到低电平触发 ),Launchpad 上的按键在不按下的时候连接着 VCC, 而按下的时候连接的是 GND, 因此我们选择下降沿触发 最后, 我们要清除相应的中断标志位 中断标志位通知单片机一个中断的产生, 因此在每次中断处理程序 _EINT(); while(1) // Enable all interrupts // Loop forever, we work with interrupts! 结束后, 如果我们希望下次产生事件的时候依然有中断, 我们应当 清除中断标志位 打开所有中断, 轻松加愉快 接下来就是中断的事儿了 // Timer A0 interrupt service routine #pragma vector=timer0_a0_vector interrupt void Timer_A0 (void) P1OUT ^= BIT0; // Toggle P1.0 这是 TimerA 的中断处理程序 每当计数器溢出的时候, 中断触 发, 程序便会执行这段代码, 翻转 P1.0 的输出, 对应地,LED1 会 出现闪烁的效果 每次翻转之后, 程序便会回到触发中断的地方, // Port 1 interrupt service routine #pragma vector=port1_vector interrupt void Port_1(void) P1OUT ^= BIT6; // Toggle P1.6 P1IFG &= ~BIT3; // P1.3 IFG cleared

14 在本例中, 回到 While(1) 这是 PORT1 的中断处理程序, 每当我们按下 P1.3 对应的按键时, 中断触发, 程序便会执行这段代码, 效果如同在前一节中演示的一样 烧代码看效果吧! 以下是这一节的完整代码 : #include "msp430g2553.h" void main(void) WDTCTL = WDTPW + WDTHOLD; CCTL0 = CCIE; TACTL = TASSEL_2 + MC_1 + ID_3; // SMCLK/8, upmode TACCR0 = 10000; // Stop WDT // CCR0 interrupt enabled // 12.5 Hz P1OUT &= 0x00; // Shut down everything P1DIR &= 0x00; P1DIR = BIT0 + BIT6; // P1.0 and P1.6 pins output P1REN = BIT3; // Enable internal pull-up/down resistors P1OUT = BIT3; / / Select pull-up mode for P1.3 P1IE = BIT3; // P1.3 interrupt enabled P1IES = BIT3; // P1.3 Falling edge P1IFG &= ~BIT3; // P1.3 IFG cleared _EINT(); // Enable all interrupts while(1) // Loop forever, we work with interrupts! // Timer A0 interrupt service routine #pragma vector=timer0_a0_vector interrupt void Timer_A0 (void) P1OUT ^= BIT0; // Toggle P1.0

15 MSP430 LAUNCHPAD 指导书 1 5 // Port 1 interrupt service routine #pragma vector=port1_vector interrupt void Port_1(void) P1OUT ^= BIT6; // Toggle P1.6 P1IFG &= ~BIT3; // P1.3 IFG cleared

16 这一节我们将会看到如何在 MSP430 Launchpad 上使用 UART 进行通信 我们的程序将会实现通过 UART 从 PC 读入一个字节的数据, 然后发送相应的反馈字节给 PC 通信模式为 波特率, 全双工,8 位数据, 无奇偶校验,1 位停止 第三部分 UART MSP430 G2553(Launchpad 使用的芯片 ) 是 MSP430 家族中比较给力的芯片, 它集成了硬件 UART 模块 UART 通信在处理 / 调试传感器的时候尤为有用, 举一个简单的例子, 我们可以用温度传感器采集数据, 经过 AD 转换之后通过 UART 发送给 PC, 传送的方式可以是有线, 也可以使用无线例如蓝牙 让我们直接进入正题吧 New Project

17 MSP430 LAUNCHPAD 指导书 1 7 首先, 你应该已经熟悉了这样的开头 : #include "msp430g2553.h" #define TXLED BIT0 #define RXLED BIT6 #define TXD BIT2 #define RXD BIT1 const char string[] = "Hello World\n" ; unsigned int i; //Counter 像前两节中所做的那样, 程序开头包含 MSP430G2553 的头文件, 然后宏定义一些常数使得程序更具可读性 接着我们定义了一个 char 类型数组 ( 即一个 C String), 存了我们将要反馈给 PC 的信息 ( 经典的 Hello World) 最后定义一个计数变量, 辅助反馈字符串的发送 int main(void) WDTCTL = WDTPW + WDTHOLD; // Stop WDT DCOCTL = 0; // Select lowest DCOx and MODx settings BCSCTL1 = CALBC1_1MHZ; // Set DCO DCOCTL = CALDCO_1MHZ; 这依然是大家熟悉的 main 函数的开始方法, 首先关闭看门狗定 时器, 之后的三行将单片机的内部时钟设置为 1MHz 接下来 P2DIR = 0xFF; // All P2.x outputs P2OUT &= 0x00; // All P2.x reset P1SEL = RXD + TXD ; // P1.1 = RXD, P1.2=TXD P1SEL2 = RXD + TXD ; // P1.1 = RXD, P1.2=TXD P1DIR = RXLED + TXLED; P1OUT &= 0x00;

18 UART 和板上所有其他外设都将使用 SMCLK 时钟 (sub-main clock) 这里是配置输入输出引脚 : 前两行我们把 PORT2 的所有引脚关闭 对于用不到的引脚, 关闭引脚是一个比较好的习惯, 这样能有效地减少噪声和电流的消耗 第 3 行和第 4 行将引脚 P1.1 和 P1.2 设置为 UART 模式 事实上,P1SEL 和 P1SEL2 两个寄存器是一个多路选择器, 它们将 P1 的引脚连接至不同的板载的外设上 但是注意,TXD 和 RXD 是固定引脚的, 我们可以通过查 G2553 的数据手册找到对应的引脚 最后两行是初始化板载 LED

19 MSP430 LAUNCHPAD 指导书 1 9 UCA0CTL1 = UCSSEL_2; // SMCLK UCA0BR0 = 0x08; // 1MHz UCA0BR1 = 0x00; // 1MHz UCA0MCTL = UCBRS2 + UCBRS0; // Modulation UCBRSx = 5 UCA0CTL1 &= ~UCSWRST; // **Initialize USCI state machine** UC0IE = UCA0RXIE; // Enable USCI_A0 RX interrupt EINT(); while (1) 这是 UART 的配置 第 1 行, 如同前面所说的, 我们选择 SMCLK 作为 UART 模块的时钟源, 用来产生需要的波特率 ( 当然, 你也可以选择其他的时钟源 ) UCA0BR0 和 UCA0BR1 用来选择波特率 : 你可以将这两个寄存器存储的整数看做对时钟 SMCLK(1MHz) 的分频 在我们的配置下, 产生的频率是 1MHz/8=125000Hz, 而实际上我们需要 的波特率, 所以在长时间的工作中会累积一定的误差 如果配置为 9 的话波特率又会低于 这时候就需要用到 UCA0MCTL 寄存器 这个寄存器是起调节作用的, 它会选择 8 和 9 之间的分频因子, 在通信中可以控制累积误差 如果分频因子为 8, 有 =9600(+8.5%) 的误差, 如果分频因子为 9, 有 =4089(-3.6%) 的误差 调节器差不多会这样工作 : 位数 分频因子 误差 (%) 累积误差 (%)

20 在 MSP430 的编程手册 (User Guide) 上可以找到一张表, 列出了 SMCLK 典型频率和常用波特率下,UART 收发数据时期望的最小和最大的误差率 根据表格我们选择 5 作为调节值 然后我们使能所有中断, 进入循环 #pragma vector=usciab0rx_vector interrupt void USCI0RX_ISR(void) P1OUT = RXLED; if (UCA0RXBUF == 'a') // 'a' received? i = 0; UC0IE = UCA0TXIE; // Enable USCI_A0 TX interrupt UCA0TXBUF = string[i++]; P1OUT &= ~RXLED; 这是当 UART 接收到数据时的中断处理程序 如果你看过了指导书的第二部分, 你应该对中断的概率比较熟悉了 在中断处理程序中, 我们先点亮一个 LED, 表示单片机已经接收到一个字节 然后我们读 UCA0RXBUF 寄存器, 这个寄存器存储了收到的数据 如果收到的数据为 a, 那么就重置计数变量 i, 打开发送中断向 PC 发送反馈字符串 当我们把字符串的第一个字符放入 UCA0TXBUF 时, 这个寄存器就会做好准备将数据发送出去

21 MSP430 LAUNCHPAD 指导书 2 1 在这个中断处理程序的最后, 记得关闭 LED, 表示接收中断 #pragma vector=usciab0tx_vector interrupt void USCI0TX_ISR(void) P1OUT = TXLED; UCA0TXBUF = string[i++]; // TX next character if (i == sizeof(string) - 1) // TX over? UC0IE &= ~UCA0TXIE; // Disable USCI_A0 TX interrupt P1OUT &= ~TXLED; 处理完毕 这是 UART 的发送中断处理程序 和刚才一样, 我们点亮一个 LED 表示进入发送中断 我们将要发送的下一个字符放入缓存区中 如果字符串没有结束, 关闭 LED, 中断处理程序结束 待当前字节发送结束后, 发送中断会被再一次触发 ( 因为 buffer 中还有待发送的数据 ) 如果反馈字符串已经全部载入 buffer 中, 我们就可以关闭发送中断, 回到主程序中 烧代码看效果吧! 提示 : 通信时 PC 与单片机的互动可以在 PC 端下载一个串口助手, 比较经典是 sscom 串口助手是调试单片机程序的利器!

22 以下是这一节的完整代码 : #include "msp430g2553.h" #define TXLED BIT0 #define RXLED BIT6 #define TXD BIT2 #define RXD BIT1 const char string[] = "Hello World\n" ; unsigned int i; //Counter int main(void) WDTCTL = WDTPW + WDTHOLD; // Stop WDT DCOCTL = 0; // Select lowest DCOx and MODx settings BCSCTL1 = CALBC1_1MHZ; // Set DCO DCOCTL = CALDCO_1MHZ; P2DIR = 0xFF; // All P2.x outputs P2OUT &= 0x00; // All P2.x reset P1SEL = RXD + TXD ; // P1.1 = RXD, P1.2=TXD P1SEL2 = RXD + TXD ; // P1.1 = RXD, P1.2=TXD P1DIR = RXLED + TXLED; P1OUT &= 0x00; UCA0CTL1 = UCSSEL_2; // SMCLK UCA0BR0 = 0x08; // 1MHz UCA0BR1 = 0x00; // 1MHz UCA0MCTL = UCBRS2 + UCBRS0; // Modulation UCBRSx = 5 UCA0CTL1 &= ~UCSWRST; // **Initialize USCI state machine** UC0IE = UCA0RXIE; // Enable USCI_A0 RX interrupt EINT(); while (1)

23 MSP430 LAUNCHPAD 指导书 2 3 #pragma vector=usciab0tx_vector interrupt void USCI0TX_ISR(void) P1OUT = TXLED; UCA0TXBUF = string[i++]; // TX next character if (i == sizeof(string) - 1) // TX over? UC0IE &= ~UCA0TXIE; // Disable USCI_A0 TX interrupt P1OUT &= ~TXLED; #pragma vector=usciab0rx_vector interrupt void USCI0RX_ISR(void) P1OUT = RXLED; if (UCA0RXBUF == 'a') // 'a' received? i = 0; UC0IE = UCA0TXIE; // Enable USCI_A0 TX interrupt UCA0TXBUF = string[i++]; P1OUT &= ~RXLED;

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

变量名 :JAVA_HOME, 变量值 : 你的安装路径 \Java\jdk 版本号 3 接下来查看系统变量, 如果其中有 classpath 和 path 变量, 则在其值后面分别添加 : ; 你的安装路径 \Java\jdk 版本号 \jre\lib 和 ; 你的安装路径 \Java\jdk 版

变量名 :JAVA_HOME, 变量值 : 你的安装路径 \Java\jdk 版本号 3 接下来查看系统变量, 如果其中有 classpath 和 path 变量, 则在其值后面分别添加 : ; 你的安装路径 \Java\jdk 版本号 \jre\lib 和 ; 你的安装路径 \Java\jdk 版 科创 3C 实验指导书 声明 : 本实验指导书基于 Windows 7 操作系统, 事实上,android 的开发也可以在 MAC OS 上进行, 只有安装软件过程不同 MSP430 我目前无法在 MAC OS 上开发, 当然用虚拟机可 以解决 一 android 开发 众所周知,android 应用的开发使用的是 Java 语言, 一般结合 Eclipse 的开发环境和其 ADT 插件, 使用 Google

More information

中文摘要 本系統採用德州儀器 MSP430 系列的晶片, 配合具有 HID 人機介面的藍芽來遙控智慧行動裝置遊戲軟體 目的在於使用第三方裝置來無線操作 Android 手機裡的遊戲, 讓手機遊戲增加更多玩法及趣味性, 以此改變傳統的手機遊戲 英文摘要 The system uses a Texas

中文摘要 本系統採用德州儀器 MSP430 系列的晶片, 配合具有 HID 人機介面的藍芽來遙控智慧行動裝置遊戲軟體 目的在於使用第三方裝置來無線操作 Android 手機裡的遊戲, 讓手機遊戲增加更多玩法及趣味性, 以此改變傳統的手機遊戲 英文摘要 The system uses a Texas 國立勤益科技大學電子工程系 四技日間部 實務專題報告 題目 : 藍芽遙控手機遊戲 指導教授 : 蔡忠和 製作學生 : 羅逢星 吳材貫 中華民國一百零四年六月 1 中文摘要 本系統採用德州儀器 MSP430 系列的晶片, 配合具有 HID 人機介面的藍芽來遙控智慧行動裝置遊戲軟體 目的在於使用第三方裝置來無線操作 Android 手機裡的遊戲, 讓手機遊戲增加更多玩法及趣味性, 以此改變傳統的手機遊戲

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

Microsoft Word - MSP_430学习笔记.doc

Microsoft Word - MSP_430学习笔记.doc MSP430 学习笔记 (1) 这只是我在学习 TI 公司生产的 16 位超的功耗单片机 MSP430 的随笔, 希望能对其他朋友有所借鉴, 不对之处还请多指教 下面, 开始 430 之旅 讲解 430 的书现在也有很多了, 不过大多数都是详细说明底层硬件结构的, 看了不免有些空洞和枯燥, 我认为了解一个 MCU 的操作首先要对其基础特性有所了解, 然后再仔细研究各模块的功能 1. 首先你要知道 msp430

More information

(3)ADC12Ó¦Ó÷¶Àý

(3)ADC12Ó¦Ó÷¶Àý MSP430 单片机入门应用系列例程 V1.0 (3)ADC12 应用范例策划 : 微控设计网 DC 例 3.1 ADC12- 使用外部参考电压 简述 : 使用单通进行 ADC 转换, 电压参考源来自外部 ADC12 的 Vr+=VeREF+,Vr-=Avss; Vr+ Vr- 是 ADC12 模块的最大值和最小值的参考电压源 当输入模拟电压信号等于或高于 Vr+ 时,ADC12 转换满幅输出, 此时输出值为

More information

untitled

untitled MSP430F22X4 :1.8V-3.6V -:1MHz 2.2V 250 ua -:0.7 ua -(RAM ):0.1uA 1us 16, 65ns -16MHz ±1% - - 32kHz - 16M - - - 3 / 16 Timer_A 3 / 16 Timer_B 10 A/D 200ksps (USCI) - UART LIN -IrDA - SPI -I2C Bootstrap

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

第三章 3.5 Flash 使用的代码样例 #include "msp430g2553.h" /**************************************************** g2553 有 4 个数据段, 每个数据段有 64bytes, 共 256bytes D:0x10

第三章 3.5 Flash 使用的代码样例 #include msp430g2553.h /**************************************************** g2553 有 4 个数据段, 每个数据段有 64bytes, 共 256bytes D:0x10 MSP-EXP430Launchpad 实验指南参考代码附录 本附录为 MSP-EXP430Launchpad 实验指南中各章节涉及示例程序的完整参考代码 目录 第三章... 2 3.5... 2 3.6... 5 第五章... 11 5.1.1... 11 5.1.2... 13 5.1.3... 14 5.1.4... 17 5.1.5... 18 5.1.6... 21 5.2.1... 22

More information

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡 硬件检测电路原理 : Capitiactive Touch Pad 3 种常见电容检测电路介绍 :1.RC 检测, 基本趋于淘汰, 灵敏度低,2.RO 外部震荡, 外围需要一些电阻电容, 加大 PCB 体积,3.PinOsc with internal RO, 外部只需挂一个电容 RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

untitled

untitled 0571-89908088 89908091 www.lierda.com MSP430X11X2,MSP430X12X2 :1.8V-3.6V -:1MHz 2.2V 200 ua -:0.7 ua -(RAM ):0.1uA 6us 16, 125us -DCO -DCO - 32kHz - 16MHz - - 3 / 16 Timer_A 200ksps 10 A/D,,,. (USART0)(MSP430X12X2),

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet 本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet http://www.ti.com/lit/ds/symlink/tmp104.pdf TMP104 评估板用户手册 http://www.ti.com/lit/ug/sbou118/sbou118.pdf

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案

议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案 采用 MSP430 LaunchPad 启动开发工作 议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案 MSP430 系列 MCU 产品 MSP430 MCU 介绍 超低功耗 业内功耗最低的

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - 10

Microsoft Word - 10 第十章通用非同步收發傳輸器 (UART) 10.1 結構介紹 10.2 內部暫存器 10.3 初始化流程 10.4 UART 傳輸實驗 10.4.1 實驗目的 10.4.2 實驗設備 10.4.3 實驗描述 10.4.4 接線步驟 10.4.5 程式流程圖 10.4.6 程式碼 10.4.7 實驗結果 10.1 結構介紹 圖 10.1 為通用串行通信接口 _AX(USCI_Ax) 設為 UART 模式時的區塊結構圖,

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500009-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 DBG 引脚的使用方法 应用笔记 变更履历 变更履历 日期作者修改记录 2008-03-18 Raven Peng V1.0, 第 1 版 本文档由 12 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和

More information

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc 可程序计数数组 (PCA) 功能使用方法 1 适用产品 :SM59D04G2,SM59D03G2 2 应用说明 : PCA 共有五组, 每组皆可工作于以下七种模式 : 捕获模式 - 正缘捕获模式 (Positive edge capture mode) 捕获模式 - 负缘捕获模式 (Negative edge capture mode) 捕获模式 - 正缘及负缘捕获模式 (Both positive

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Microsoft Word - 18

Microsoft Word - 18 第十八章 S D 卡寫檔實驗 18.1 實驗目的 18.2 實驗設備 18.3 實驗描述 18.4 接線步驟 18.5 程式碼 18.6 程式流程圖 18.7 實驗結果 18.1 實驗目的學習以 SPI 傳輸控制管理 IC 存取 SD Card 18.2 實驗設備電腦 x1 FETx1 18.3 實驗描述 a. ACLK = 32KHz, MCLK=SMCLK~=16M b. Set P10.1~P10.3

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir 在 CCSv5.1 中利用 MSP430 的 代码示例开发 MSP430 制作小组成员 : 刘阳电信 0905 蔡世滨电信 0905 谢超凡电信 0905 I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

MSP430

MSP430 微控设计网 http://www.microcontrol.cn 微控技术论坛 http://bbs.microcontrol.cn 主题 : 基于模拟前端信号处理与控制技术的专业论坛, 网站. 基于模拟前端信号处理与控制技术的专业论坛 网站. MSP430F20xx 小巧 快速 灵活 Vincent Chan 德州仪器亚洲 MSP430 市场经理 vince-chan@ti.com 2005 Texas

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4 CCS5.1 及 MSP430 应用实例研究 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 成员名单 : 姚文捷提高 0901 班 U200914972 许铖提高 0901 班 U200913784 指导老师 : 左芷蘅提高 0901 班 U200913637 汪小燕 美国德州仪器半导体技术上海 ( 有限 ) 公司 华中科技大学 Analog&MSP430

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

RTC

RTC STM32F0 使用 RTC Tamper 的几个注意事项 问题 : 该问题由某客户提出, 发生在 STM32F072 器件上 据其软件工程师讲述 : 使用 STM32F0 系列的标准外设库中 RTC_Tamper 的例程来进行修改, 例程中配置的是当在 RTC_TAMP1 引脚检测到上升沿的时候, 复位备份寄存器并产生中断 ; 客户根据其实际应用将配置中的上升沿改成下降沿, 以期待在检测到下降沿的时候,

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

AN579

AN579 深圳市英锐恩科技有限公司 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Microchip 产品用户参考手册 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 Enroo-Tech Technologies CO., Limited Light-Tech International Limited

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

HCS08微控制器上有关内存分配的几个问题.doc

HCS08微控制器上有关内存分配的几个问题.doc HCS08 shylion@gmail.com HCS08 Tiny Small shylion@gmail.com HCS08...- 1-1.1. HCS08...- 2-1.1...- 2-1.2 RAM...- 5-1.3 FLASH...- 5-1.4 Vectors...- 6-1.2....- 7-1.3. HCS08 Tiny Small...- 9-1.4. heap segment...12

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

C35_RG_E.book

C35_RG_E.book 快 速 指 南 . 目 录 1 简 介...1-1 简 介...1-2 文 档 CD/DVD 简 介...1-2 可 用 的 功 能...1-3 打 印 操 作...1-3 复 印 操 作...1-3 扫 描 操 作...1-3 传 真 操 作...1-3 控 制 面 板...1-4 帮 助 功 能...1-5 2 更 换 耗 材...2-1 更 换 耗 材...2-2 更 换 碳 粉 盒...2-2

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un Linux C July 27, 2016 Contents 1 Linux IDE 1 2 GCC 3 2.1 hello.c hello.exe........................... 5 2.2............................... 9 2.2.1 -Wall................................ 9 2.2.2 -E..................................

More information

模拟与数字外设的广泛性能 推出电容式触摸感测 I/O 端口 MSP430G2553 中集成的外设包括 GPIO 定时器 ADC 比较器 USCI 串行通信 电容触 摸等 我们将在后面分别介绍各个外设的使用 1.2 MSP430G2 LaunchPad 介绍 MSP430G2 LaunchPad 是基

模拟与数字外设的广泛性能 推出电容式触摸感测 I/O 端口 MSP430G2553 中集成的外设包括 GPIO 定时器 ADC 比较器 USCI 串行通信 电容触 摸等 我们将在后面分别介绍各个外设的使用 1.2 MSP430G2 LaunchPad 介绍 MSP430G2 LaunchPad 是基 1.1 MSP430 简介 单片机是一个集成电路芯片, 是包括了 CPU 随机存储器 RAM 只读存储器 ROM 多种 I/O 口和中断系统 定时器 / 计数器等功能并将其集成到一块硅片上构成的一个小而完善的微型计算机系统 一般把单片机也称为 Microcontroller, 或 MCU 单片机的应用极其广泛, 大到汽车 工业, 小到家电 个人消费电子品, 里面都有单片机的身影 可以说凡是要进行控制和运算的应用,

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

作业四:

作业四: 考试试题姓名 : 班级 : 分数 : 一 : 简述题 (1)MSP430F5xx 单片机的时钟系统中有哪几个时钟, 并分别讲述下它们的作用 (7) MSP430F5xx 单片机的时钟系统有 MCLK ACLK SMCLK MCLK 为主系统时钟, 主 要为 CPU 提供时钟 ACLK 为辅助系统时钟, 主要为低速的外围模块提供时钟 SMCLK 为子系统时钟, 主要为高速外围模块时钟 各种模块时钟的选择取决于系统对处理速度

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc

Microsoft Word - Twin-CANÀý³Ì½éÉÜ.doc 样例程序 :TwinCAN 模块使用 1. 简介本程序实现功能如下 : 利用 TwinCAN 模块的两个 CAN 节点 A B, 配置内部自带的 32 消息对象, 可修改各个对象的属性, 如传输方向 ( 发送 接收 ),ID,MASK, 隶属节点名及传输数据内容 由于 DAVE 生成的 CAN 相关代码会超过 KEIL uvision 软件评估版本的限制大小 因此需安装完整版本的 KEIL uvision

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

MSP430µ¥Æ¬»úCÓïÑԺͻã±àÓïÑÔ»ìºÏ±à³Ì.doc

MSP430µ¥Æ¬»úCÓïÑԺͻã±àÓïÑÔ»ìºÏ±à³Ì.doc MSP430 单片机 C 语言和汇编语言混合编程 Mixing C and Assembler With the MSP430 刘玉宏 Liu,Yuhong 摘要 : 为了发挥 C 语言和汇编语言各自的优点, 二者需要相互调用函数 本文首先介绍了 MSP430 单片机的 C 语言函数的参数传递规则, 然后对 C 语言和汇编语言的混合编程进行了详细描述, 最后给出应用实例 关键字 :MSP430 单片机

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500004-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 8/16 位多功能定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 40 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 /

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

untitled

untitled 430F22X 430F22X...1...2 1.1 MSP430F2274... 2 1.1.1...2 1.1.2...3 1.1.3...3 1.1.4...5 1.2... 5 1.3...6 MSP430 (IAR)...7 2.1 F:/...7 2.2...7 2.3 IAR3.41A...7 1...7 2*.S43/*.C... 8 3...9 4...11 5...11...12

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 0 1 1 2 1

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information