到简单, 甚至包括设计家具和作曲, 只是具体软件内容不同 其实, 他们发明第一代计算机时就是这个目的 ( 当初是为了高效率设计大炮 和相关炮弹以及其他计算量大的设计 ) 电子电路设计与仿真工具包括 SPICE/PSPICE;multiSIM7;Matlab; SystemView;MMICAD Li

Size: px
Start display at page:

Download "到简单, 甚至包括设计家具和作曲, 只是具体软件内容不同 其实, 他们发明第一代计算机时就是这个目的 ( 当初是为了高效率设计大炮 和相关炮弹以及其他计算量大的设计 ) 电子电路设计与仿真工具包括 SPICE/PSPICE;multiSIM7;Matlab; SystemView;MMICAD Li"

Transcription

1 电子电路设计与仿真工具我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践 但是有的时候, 我们会发现做出来的东西有很多的问题, 事先并没有想到, 这样一来就浪费了我们的很多时间和物资 而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势 有没有能够不动用电烙铁试验板就能知道结果的方法呢? 结论是有, 这就是电路设计与仿真技术 说到电子电路设计与仿真工具这项技术, 就不能不提到美国, 不能不提到他们的飞机设计为什么有很高的效率 以前我国定型一个中型飞机的设计, 从草案到详细设计到风洞试验再到最后出图到实际投产, 整个周期大概要 10 年 而美国是 1 年 为什么会有这样大的差距呢? 因为美国在设计时大部分采用的是虚拟仿真技术, 把多年积累的各项风洞实验参数都输入电脑, 然后通过电脑编程编写出一个虚拟环境的软件, 并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数 这样一来, 只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验, 哪里不合理有问题就改动那里, 直至最佳效果, 效率自然高了, 最后只要再在实际环境中测试几次找找不足就可以定型了, 从他们的波音 747 到 F16 都是采用的这种方法 空气动力学方面的数据由资深专家提供, 软件开发商是 IBM, 飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可 同样, 他们其他的很多东西都是采用了这样类似的方法, 从大到小, 从复杂

2 到简单, 甚至包括设计家具和作曲, 只是具体软件内容不同 其实, 他们发明第一代计算机时就是这个目的 ( 当初是为了高效率设计大炮 和相关炮弹以及其他计算量大的设计 ) 电子电路设计与仿真工具包括 SPICE/PSPICE;multiSIM7;Matlab; SystemView;MMICAD LiveWire Edison Tina Pro Bright Spark 等 下面简单介绍前三个软件 1SPICE(Simulation Program with Integrated Circuit Emphasis): 是由美国加州大学推出的电路分析仿真软件, 是 20 世纪 80 年代世界上应用最广的电路设计软件,1998 年被定为美国国家标准 1984 年, 美国 MicroSim 公司推出了基于 SPICE 的微机版 PSPICE (Personal-SPICE) 现在用得较多的是 PSPICE6.2, 可以说在同类产品中, 它是功能最为强大的模拟和数字电路混合仿真 EDA 软件, 在国内普遍使用 最新推出了 PSPICE9.1 版本 它可以进行各种各样的电路仿真 激励建立 温度与噪声分析 模拟控制 波形输出 数据输出 并在同一窗口内同时显示模拟与数字的仿真结果 无论对哪种器件哪些电路进行仿真, 都可以得到精确的仿真结果, 并可以自行建立元器件及元器件库 2multiSIM(EWB 的最新版本 ) 软件 : 是 Interactive Image Technologies Ltd 在 20 世纪末推出的电路仿真软件 其最新版本为 multisim7, 目

3 前普遍使用的是 multisim2001, 相对于其它 EDA 软件, 它具有更加形象直观的人机交互界面, 特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样, 但它对模数电路的混合仿真功能却毫不逊色, 几乎能够 100% 地仿真出真实电路的结果, 并且它在仪器仪表库中还提供了万用表 信号发生器 瓦特表 双踪示波器 ( 对于 multisim7 还具有四踪示波器 ) 波特仪( 相当实际中的扫频仪 ) 字信号发生器 逻辑分析仪 逻辑转换仪 失真度分析仪 频谱分析仪 网络分析仪和电压表及电流表等仪器仪表 还提供了我们日常常见的各种建模精确的元器件, 比如电阻 电容 电感 三极管 二极管 继电器 可控硅 数码管等等 模拟集成电路方面有各种运算放大器 其他常用集成电路 数字电路方面有 74 系列集成电路 4000 系列集成电路 等等还支持自制元器件 MultiSIM7 还具有 I-V 分析仪 ( 相当于真实环境中的晶体管特性图示仪 ) 和 Agilent 信号发生器 Agilent 万用表 Agilent 示波器和动态逻辑平笔等 同时它还能进行 VHDL 仿真和 Verilog HDL 仿真 3MATLAB 产品族 : 它们的一大特性是有众多的面向具体应用的工具箱和仿真块, 包含了完整的函数集用来对图像信号处理 控制系统设计 神经网络等特殊应用进行分析和设计 它具有数据采集 报告生成和 MATLAB 语言编程产生独立 C/C++ 代码等功能 MATLAB 产品族具有下列功能 : 数据分析 ; 数值和符号计算 工程与科学绘图 ; 控制系统设计 ; 数字图像信号处理 ; 财务工程 ; 建模 仿真 原型开

4 发 ; 应用开发 ; 图形用户界面设计等 MATLAB 产品族被广泛应用于信号与图像处理 控制系统设计 通讯系统仿真等诸多领域 开放式的结构使 MATLAB 产品族很容易针对特定的需求进行扩充, 从而在不断深化对问题的认识同时, 提高自身的竞争力 2.2 PCB 设计软件 PCB(Printed-Circuit Board) 设计软件种类很多, 如 Protel OrCAD Viewlogic PowerPCB Cadence PSD MentorGraphices 的 Expedition PCB Zuken CadStart Winboard/Windraft/Ivex-SPICE PCB Studio TANGO PCBWizard( 与 LiveWire 配套的 PCB 制作软件包 ) ultiboard7( 与 multisim2001 配套的 PCB 制作软件包 ) 等等 目前在我国用得最多当属 Protel, 下面仅对此软件作一介绍 Protel 是 PROTEL( 现为 Altium) 公司在 20 世纪 80 年代末推出的 CAD 工具, 是 PCB 设计者的首选软件 它较早在国内使用, 普及率最高, 在很多的大 中专院校的电路专业还专门开设 Protel 课程, 几乎所在的电路公司都要用到它 早期的 Protel 主要作为印刷板自动布线工具使用, 其最新版本为 Protel DXP, 现在普遍使用的是 Protel99SE, 它是个完整的全方位电路设计系统, 包含了电原理图绘制 模拟电路与数字电路混合信号仿真 多层印刷电路板设计 ( 包含

5 印刷电路板自动布局布线 ), 可编程逻辑器件设计 图表生成 电路表格生成 支持宏操作等功能, 并具有 Client/Server( 客户 / 服务体系结构 ), 同时还兼容一些其它设计软件的文件格式, 如 ORCAD PSPICE EXCEL 等 使用多层印制线路板的自动布线, 可实现高密度 PCB 的 100% 布通率 Protel 软件功能强大 ( 同时具有电路仿真功能和 PLD 开发功能 ) 界面友好 使用方便, 但它最具代表性的是电路设计和 PCB 设计 2.3 IC 设计软件 IC 设计工具很多, 其中按市场所占份额排行为 Cadence Mentor Graphics 和 Synopsys 这三家都是 ASIC 设计领域相当有名的软件供应商 其它公司的软件相对来说使用者较少 中国华大公司也提供 ASIC 设计软件 ( 熊猫 2000); 另外近来出名的 Avanti 公司, 是原来在 Cadence 的几个华人工程师创立的, 他们的设计工具可以全面和 Cadence 公司的工具相抗衡, 非常适用于深亚微米的 IC 设计 下面按用途对 IC 设计软件作一些介绍 1 设计输入工具 这是任何一种 EDA 软件必须具备的基本功能 像 Cadence 的 composer,viewlogic 的 viewdraw, 硬件描述语言 VHDL Verilog HDL

6 是主要设计语言, 许多设计输入工具都支持 HDL( 比如说 multisim 等 ) 另外像 Active-HDL 和其它的设计输入方法, 包括原理和状态机输入方法, 设计 FPGA/CPLD 的工具大都可作为 IC 设计的输入手段, 如 Xilinx Altera 等公司提供的开发工具 Modelsim FPGA 等 2 设计仿真工作 我们使用 EDA 工具的一个最大好处是可以验证设计是否正确, 几乎每个公司的 EDA 产品都有仿真工具 Verilog-XL NC-verilog 用于 Verilog 仿真,Leapfrog 用于 VHDL 仿真,Analog Artist 用于模拟电路仿真 Viewlogic 的仿真器有 :viewsim 门级电路仿真器, speedwavevhdl 仿真器,VCS-verilog 仿真器 Mentor Graphics 有其子公司 Model Tech 出品的 VHDL 和 Verilog 双仿真器 :Model Sim Cadence Synopsys 用的是 VSS(VHDL 仿真器 ) 现在的趋势是各大 EDA 公司都逐渐用 HDL 仿真器作为电路验证的工具 3 综合工具 综合工具可以把 HDL 变成门级网表 这方面 Synopsys 工具占有较大 的优势, 它的 Design Compile 是作为一个综合的工业标准, 它还有另 外一个产品叫 Behavior Compiler, 可以提供更高级的综合

7 另外最近美国又出了一个软件叫 Ambit, 据说比 Synopsys 的软件更有效, 可以综合 50 万门的电路, 速度更快 今年初 Ambit 被 Cadence 公司收购, 为此 Cadence 放弃了它原来的综合软件 Synergy 随着 FPGA 设计的规模越来越大, 各 EDA 公司又开发了用于 FPGA 设计的综合软件, 比较有名的有 :Synopsys 的 FPGA Express, Cadence 的 Synplity, Mentor 的 Leonardo, 这三家的 FPGA 综合软件占了市场的绝大部分 4 布局和布线 在 IC 设计的布局布线工具中,Cadence 软件是比较强的, 它有很多产品, 用于标准单元 门阵列已可实现交互布线 最有名的是 Cadence spectra, 它原来是用于 PCB 布线的, 后来 Cadence 把它用来作 IC 的布线 其主要工具有 :Cell3,Silicon Ensemble- 标准单元布线器 ;Gate Ensemble- 门阵列布线器 ;Design Planner- 布局工具 其它各 EDA 软件开发公司也提供各自的布局布线工具 5 物理验证工具 物理验证工具包括版图设计工具 版图验证工具 版图提取工具等等 这方面 Cadence 也是很强的, 其 Dracula Virtuso Vampire 等物理工 具有很多的使用者

8 6 模拟电路仿真器 前面讲的仿真器主要是针对数字电路的, 对于模拟电路的仿真工具, 普遍使用 SPICE, 这是唯一的选择 只不过是选择不同公司的 SPICE, 像 MiceoSim 的 PSPICE Meta Soft 的 HSPICE 等等 HSPICE 现在被 Avanti 公司收购了 在众多的 SPICE 中,HSPICE 作为 IC 设计, 其模型多, 仿真的精度也高 2.4 PLD 设计工具 PLD(Programmable Logic Device) 是一种由用户根据需要而自行构造逻辑功能的数字集成电路 目前主要有两大类型 :CPLD(Complex PLD) 和 FPGA(Field Programmable Gate Array) 它们的基本设计方法是借助于 EDA 软件, 用原理图 状态机 布尔表达式 硬件描述语言等方法, 生成相应的目标文件, 最后用编程器或下载电缆, 由目标器件实现 生产 PLD 的厂家很多, 但最有代表性的 PLD 厂家为 Altera Xilinx 和 Lattice 公司 PLD 的开发工具一般由器件生产厂家提供, 但随着器件规模的不断增 加, 软件的复杂性也随之提高, 目前由专门的软件公司与器件生产厂 家使用, 推出功能强大的设计软件 下面介绍主要器件生产厂家和开

9 发工具 1ALTERA:20 世纪 90 年代以后发展很快 主要产品有 : MAX3000/7000 FELX6K/10K APEX20K ACEX1K Stratix 等 其开发工具 -MAX+PLUS II 是较成功的 PLD 开发平台, 最新又推出了 Quartus II 开发软件 Altera 公司提供较多形式的设计输入手段, 绑定第三方 VHDL 综合工具, 如 : 综合软件 FPGA Express Leonard Spectrum, 仿真软件 ModelSim 2ILINX:FPGA 的发明者 产品种类较全, 主要有 :XC9500/4000 Coolrunner(XPLA3) Spartan Vertex 等系列, 其最大的 Vertex-II Pro 器件已达到 800 万门 开发软件为 Foundation 和 ISE 通常来说, 在欧洲用 Xilinx 的人多, 在日本和亚太地区用 ALTERA 的人多, 在美国则是平分秋色 全球 PLD/FPGA 产品 60% 以上是由 Altera 和 Xilinx 提供的 可以讲 Altera 和 Xilinx 共同决定了 PLD 技术的发展方向 3Lattice-Vantis:Lattice 是 ISP(In-System Programmability) 技术的发明者 ISP 技术极大地促进了 PLD 产品的发展, 与 ALTERA 和 XILINX 相比, 其开发工具比 Altera 和 Xilinx 略逊一筹 中小规模 PLD 比较有特色, 大规模 PLD 的竞争力还不够强 (Lattice 没有基于查找表技术的大规模 FPGA),1999 年推出可编程模拟器件,1999 年收购 Vantis( 原 AMD 子公司 ), 成为第三大可编程逻辑器件供应商

10 2001 年 12 月收购 Agere 公司 ( 原 Lucent 微电子部 ) 的 FPGA 部门 主要产品有 isplsi2000/5000/8000,mach4/5 4ACTEL: 反熔丝 ( 一次性烧写 )PLD 的领导者 由于反熔丝 PLD 抗 辐射 耐高低温 功耗低 速度快, 所以在军品和宇航级上有较大优 势 ALTERA 和 XILINX 则一般不涉足军品和宇航级市场 5Quicklogic: 专业 PLD/FPGA 公司, 以一次性反熔丝工艺为主, 在中国地区销售量不大 6Lucent: 主要特点是有不少用于通讯领域的专用 IP 核, 但 PLD/FPGA 不是 Lucent 的主要业务, 在中国地区使用的人很少 7ATMEL: 中小规模 PLD 做得不错 ATMEL 也做了一些与 Altera 和 Xilinx 兼容的片子, 但在品质上与原厂家还是有一些差距, 在高可靠 性产品中使用较少, 多用在低端产品上 8Clear Logic: 生产与一些著名 PLD/FPGA 大公司兼容的芯片, 这 种芯片可将用户的设计一次性固化, 不可编程, 批量生产时的成本较 低 9WSI: 生产 PSD( 单片机可编程外围芯片 ) 产品 这是一种特殊的

11 PLD, 如最新的 PSD8xx PSD9xx 集成了 PLD EPROM Flash, 并 支持 ISP( 在线编程 ), 集成度高, 主要用于配合单片机工作 顺便提一下 :PLD( 可编程逻辑器件 ) 是一种可以完全替代 74 系列及 GAL PLA 的新型电路, 只要有数字电路基础, 会使用计算机, 就可以进行 PLD 的开发 PLD 的在线编程能力和强大的开发软件, 使工程师可以几天, 甚至几分钟内就可完成以往几周才能完成的工作, 并可将数百万门的复杂设计集成在一颗芯片内 PLD 技术在发达国家已成为电子工程师必备的技术

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

2003 1

2003 1 2003 2003 DATANG TELECOM TECHNOLOGY CO., LTD 2004 4 16 2003 1 2003 3 4 6 9 12 14 16 31 32 35 62 2 2003 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@datang.com

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Microsoft Word - 营销目录C.doc

Microsoft Word - 营销目录C.doc 目 录 一 电 工 电 子 电 气 自 动 化 机 电 1 1. 电 工...1 2. 电 子...15 3. 电 气 自 动 化...27 4. 机 电...43 二 信 息 技 术 57 1. 码 摄 影 摄 像...57 2. 图 形 图 像 / 计 算 机...59 3. 国 外 经 典 系 列...70 三 建 筑 74 1. 园 林 景 观 艺 术 设 计 建 筑 学 城 市 规 划...74

More information

关于上报我院2005级各专业教学设计的通知

关于上报我院2005级各专业教学设计的通知 附 件 2: 移 动 通 信 技 术 专 业 教 设 计 专 业 方 向 : 移 动 通 信 技 术 适 用 对 象 : 2013 级 招 生 对 象 : 普 通 高 中 毕 业 生 3+ 证 书 类 生 制 : 三 年 制 一 行 业 发 展 情 况 与 人 才 需 求 预 测 ( 一 ) 行 业 发 展 情 况 信 息 产 业 及 信 息 技 术 是 21 世 纪 最 主 要 的 发 展 领 域

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

nbqw.PDF

nbqw.PDF 2002 2002 2002 2002 3 5 7 10 13 15 17 29 31 34 78 2002 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@dt-mail.com qxb@dt-mail.com 40 40 100083 http://www.dtt.com.cn

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 -

... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 - 600198 2004-1 - ... 3... 3... 4... 6... 8... 13... 15... 17... 33... 34... 37... 92-2 - 1 2 3 4 1 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 2 3 40 0086-10-62303607 0086-10-62303607 E-mail dt600198@datang.com

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

高等学校理工科

高等学校理工科 教 育 部 高 等 学 校 教 学 指 导 委 员 会 通 讯 2010 年 第 9 期 ( 总 第 88 期 ) 2010 年 9 月 本 期 目 录 政 策 信 息 国 家 中 长 期 教 育 改 革 和 发 展 规 划 纲 要 (2010-2020 年 ) ( 节 选 ) 研 究 报 告 电 子 信 息 与 电 气 信 息 学 科 国 内 外 基 础 课 程 教 学 现 状 调 研 和 分 析

More information

支撑材料4.1.doc

支撑材料4.1.doc 1. 2. 1.3.2 3. 1.3.5 4. ( )2.4.3 5. 6. 3.4.1 7. 1.1.16 8. 9. ( ) 10. 11. 12. 1.1.8 13. 2.2.7 14. 15. 16. 2.3.26 17. 2011 18. 2.4.16 19. 2.4.20 20. 2.4.10 21. 1.3.1 22. . 27. 1 . 28. . 29. . 30. . 31. .

More information

2011年自动化、电气类教材书目.doc

2011年自动化、电气类教材书目.doc 科 学 出 版 社 2011 年 自 动 化 电 气 类 教 材 一 高 等 院 校 本 科 生 教 材 1. 电 气 信 息 类 基 础 课 程 教 材 课 程 名 书 号 书 名 定 价 著 译 者 备 注 页 码 978-7-03-019768-9 电 路 分 析 30.00 董 维 杰 等 辽 宁 省 精 品 课 程 1 978-7-03-017502-6 电 路 分 析 30.00 胡 建

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生 国 家 中 等 职 业 教 育 改 革 发 展 示 范 学 校 建 设 材 料 综 合 素 质 + 技 能 特 长 人 才 培 养 方 案 重 点 专 业 名 称 : 电 子 技 术 应 用 专 业 负 责 人 : 胡 士 坤 福 建 省 长 汀 职 业 中 专 学 校 二 0 一 五 年 四 月 目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则...

More information

IT行业软件硬件工程师【通杀】常用EDA工具.docx

IT行业软件硬件工程师【通杀】常用EDA工具.docx 最全 IT 行业软件硬件工程师 通杀 常用 EDA 工具 1.AVANT!/SYNOPSYS 产品 : Actel.CoreConsole.v1.3 1CD(IP 开发平台 (IDP), 有助于简化以 FPGA 为基础系统级应用的构建 ) Actel.Designer.v8.0 1CD( 专业的 FPGA 器件开发软件, 是一个能使 FPGA 的系统性能提高 15% 的布局工具 ) Actel.Designer.v8.0.SP1.Update.Only

More information

课程简介 该课程是 数字电路与系统设计 后续的实验课, 希望通过该课程的学习, 为今后的学习和工作打下坚实的基础, 促进数字系统设计水平的提高 电子设计自动化 (EDA:Electronic Design Automation) 是九十年代电子设计领域中一项先进技术, 它使大规模集成电路的设计与制作

课程简介 该课程是 数字电路与系统设计 后续的实验课, 希望通过该课程的学习, 为今后的学习和工作打下坚实的基础, 促进数字系统设计水平的提高 电子设计自动化 (EDA:Electronic Design Automation) 是九十年代电子设计领域中一项先进技术, 它使大规模集成电路的设计与制作 数字电路与逻辑设计 EDA 实验 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室课件网址 : http://web.xidian.edu.cn/mlyang/teach.html - 1 - 课程简介 该课程是 数字电路与系统设计 后续的实验课, 希望通过该课程的学习, 为今后的学习和工作打下坚实的基础, 促进数字系统设计水平的提高 电子设计自动化

More information

1.1 专业设置与定位.doc

1.1 专业设置与定位.doc 1 1. 2. 3. 4. CDIO 5. 6. 20062010 7. 20112020 8. 9. 3 10. 3 11. 3 12. 13. 14. 15. 16. 17. 18. 19. 2 3 1 1. 2. 3. 4. 12 5. (VISUALC++ ) 2 4 5 6 7 8 9 10 11 12 13 3 14 15 16 17 18 19 20 21 22 23 24 25 26

More information

Microsoft Word - 校本部招生简章文字版.doc

Microsoft Word - 校本部招生简章文字版.doc 招 生 就 业 处 编 印 1 目 录 1. 学 校 概 况 2. 招 生 章 程 3. 招 生 办 答 考 生 问 4. 国 防 生 招 生 政 策 问 答 5. 学 院 及 本 科 专 业 简 介 5.1 经 济 与 管 理 学 院 5.2 文 法 学 院 5.3 外 国 语 学 院 5.4 设 计 艺 术 学 院 5.5 数 学 与 计 算 科 学 学 院 5.6 物 理 与 电 子 科 学

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

<4D6963726F736F667420576F7264202D20B1B1BEA9D3CAB5E7B4F3D1A7B3F6B0E6C9E7CDBCCAE9D7DCC4BFC2BCA3A8D7EED6D5A3A9B0D8BABAD0C2323031312E392E646F63>

<4D6963726F736F667420576F7264202D20B1B1BEA9D3CAB5E7B4F3D1A7B3F6B0E6C9E7CDBCCAE9D7DCC4BFC2BCA3A8D7EED6D5A3A9B0D8BABAD0C2323031312E392E646F63> 一 信 息 通 信 类 专 业 图 书 出 版 时 间 定 价 ( 元 ) 新 一 代 信 息 通 信 技 术 书 系 ( 新 闻 出 版 总 署 十 一 五 国 家 重 点 图 书 出 版 规 划 项 目 ) 无 线 通 信 专 辑 1 1283-7/T N 移 动 通 信 中 的 多 媒 体 业 务 基 础 王 波 涛 2006.12 32.00 10 订 数 2 1188-1/TN 无 线 通

More information

教授:

教授: 2013 高 教 社 杯 全 国 大 学 生 数 学 建 模 竞 赛 获 奖 名 单 全 国 大 学 生 数 学 建 模 竞 赛 组 委 会 2013 年 11 月 29 日 本 科 组 高 教 社 杯 获 得 者 : 刘 世 尧 王 钰 聪 李 文 然 ( 厦 门 大 学 ) 专 科 组 高 教 社 杯 获 得 者 : 肖 渝 琳 刘 新 燕 黄 龙 ( 成 都 工 业 学 院 ) 本 科 组 MATLAB

More information

Slide 1

Slide 1 Altium Designer 原理图和 PCB 设计培训教程 Altium s s Altium Designer product Training Altium Designer 完整的板级设计解决方案 1 Agenda Altium 公司 公司简介 Altium 公司产品 Altium 公司 2004 产品概述 Altium Designer 原理图和 PCB 设计培训 Altium Designer

More information

新增专业—会计电算化自查报告

新增专业—会计电算化自查报告 惠 州 城 市 职 业 学 院 2016 年 新 增 专 业 工 业 机 器 人 技 术 专 业 自 查 报 告 惠 州 城 市 职 业 学 院 二 〇 一 六 年 四 月 十 五 日 目 录 一 工 业 机 器 人 技 术 专 业 面 向 岗 位 人 才 需 求 分 析 概 述... 2 二 工 业 机 器 人 技 术 专 业 建 设 规 划 概 述... 5 三 人 才 培 养 方 案 概 述...

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

Microsoft PowerPoint 设计流程.ppt

Microsoft PowerPoint 设计流程.ppt 集成电路设计方法与设计流程 集成电路设计概述 集成电路设计描述 集成电路设计策略 基于硬件描述语言的集成电路设计方法 集成电路设计流程及 EDA 工具 1 正向设计与反向设计按功能和实现的先后顺序分 1 正向设计与反向设计 反向设计方法的应用领域越来越小 功能的多样化和专门化 集成度越来越高, 十亿晶体管 ; 保密措施 光学显微镜受限 : 日本奥林巴斯 :0.35um; 德国徕卡 :0.18um;

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

42 罗 静 齐 齐 哈 尔 市 卫 生 学 校 滴 定 管 的 使 用 43 王 志 疆 天 津 市 公 用 技 师 学 院 下 摆 臂 球 头 检 测 44 李 磊 皖 江 职 业 教 育 中 心 学 校 多 机 位 剪 辑 45 王 科 研 大 庆 市 蒙 妮 坦 职 业 高 级 中 学 眼

42 罗 静 齐 齐 哈 尔 市 卫 生 学 校 滴 定 管 的 使 用 43 王 志 疆 天 津 市 公 用 技 师 学 院 下 摆 臂 球 头 检 测 44 李 磊 皖 江 职 业 教 育 中 心 学 校 多 机 位 剪 辑 45 王 科 研 大 庆 市 蒙 妮 坦 职 业 高 级 中 学 眼 附 件 3: 全 国 职 业 院 校 教 师 微 课 大 赛 中 职 组 - 专 业 技 能 类 获 奖 名 单 一 等 奖 序 号 姓 名 学 校 课 程 名 称 1 王 谱 北 京 市 商 业 学 校 城 市 轨 道 交 通 手 指 口 述 法 2 孙 雯 婕 南 昌 市 卫 生 学 校 低 头 族 的 颈 椎 保 健 3 陈 陪 安 徽 科 技 贸 易 学 校 跟 我 学 电 动 机 自 锁

More information

2 奋 斗 的 历 程 丰 硕 的 成 果 ( 二 ) 化 进 程 图 l 清 华 大 学 综 合 教 务 系 统 数 据 管 理 流 程 二 教 务 系 统 的 一 级 管 理 模 式 教 务 系 统 配 合 学 校 体 制 改 革, 将 二 级 教 务 管 理 变 为 一 级 管 理 模 式 减

2 奋 斗 的 历 程 丰 硕 的 成 果 ( 二 ) 化 进 程 图 l 清 华 大 学 综 合 教 务 系 统 数 据 管 理 流 程 二 教 务 系 统 的 一 级 管 理 模 式 教 务 系 统 配 合 学 校 体 制 改 革, 将 二 级 教 务 管 理 变 为 一 级 管 理 模 式 减 奋 斗 的 历 程 丰 硕 的 成 果 ( 二 ) 清 华 大 学 综 合 教 务 系 统 在 教 务 管 理 中 的 应 用 1 宣 华 王 映 雪 陈 怀 楚 摘 要 : 为 加 速 高 校 教 务 管 理 改 革 的 进 程, 运 用 先 进 的 信 息 技 术, 开 发 了 清 华 大 学 综 合 教 务 管 理 信 息 系 统 该 系 统 由 学 籍 管 理 教 学 计 划 管 理 成 绩

More information

<4D6963726F736F667420576F7264202D2032303130C4EAB6C8D0A3BCB6BEABC6B7BFCEB3CCC9EAB1A8B1EDA3A8B5E7B9A4B5E7D7D3BCBCCAF5A3A9>

<4D6963726F736F667420576F7264202D2032303130C4EAB6C8D0A3BCB6BEABC6B7BFCEB3CCC9EAB1A8B1EDA3A8B5E7B9A4B5E7D7D3BCBCCAF5A3A9> 00 年 度 校 级 精 品 课 程 申 报 表 推 荐 单 位 所 属 学 校 课 程 名 称 机 电 工 程 学 院 海 南 大 学 电 工 电 子 技 术 课 程 类 型 理 论 课 ( 不 含 实 践 ) 理 论 课 ( 含 实 践 ) 实 验 ( 践 ) 课 所 属 一 级 学 科 名 称 所 属 二 级 学 科 名 称 课 程 负 责 人 申 报 日 期 08 工 学 0806 电 气

More information

上海交通大学(二).DOC

上海交通大学(二).DOC ... 1... 7... 14... 20... 28... 30... 31... 37... 38... 44... 52... 59... 61... 63... 65... 69... 72... 76 I ... 85... 88... 93... 96... 97... 99... 100... 105... 107... 108...110...113 2004...115 2004...118

More information

附件2:2013年实验教学中心完成实验教学情况

附件2:2013年实验教学中心完成实验教学情况 附件 2:2013 年实验教学中心完成实验教学情况 2013 年 全校非计算机专业本科 信息技术基础课程 32 课时 / 班 *50 班 2012-2013-2 学期 电子信息工程 11 级 1 班 余顺园 信号与系统 电子信息工程 11 级 2 班 余顺园 信号与系统 电子信息工程 10 级 1 班 朱燕 通信原理 电子信息工程 10 级 2 班 朱燕 通信原理 电子信息工程 12 级 1 班 王磊

More information

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3

一 课 程 基 本 情 况 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 编 码 51611026 所 属 部 门 工 业 中 心 课 程 所 属 专 业 课 程 所 属 模 块 数 学 计 算 机 类 任 课 教 师 情 况 ( 人 数 ) 教 授 副 教 授 讲 师 助 教 3 附 表 深 圳 职 业 技 术 学 院 文 化 育 人 示 范 课 程 建 设 项 目 申 请 书 课 程 名 称 工 程 应 用 数 学 ( 计 算 机 类 ) 课 程 性 质 课 程 负 责 人 所 属 专 业 所 属 部 门 基 础 课 郑 红 数 学 工 业 中 心 填 表 日 期 2015-12-28 深 圳 职 业 技 术 学 院 制 二 一 五 年 十 二 月 1 一 课 程 基 本

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

公安机关业务管理与执法实务全书(八).doc

公安机关业务管理与执法实务全书(八).doc ............................................. I ........................... ( )......... II ,, , , ( ) ( ) ( ) ( ) : ( ) ; ( ) ; ( ) ( ) ; ( ) ; ( ) ( ) ; ( ),, : , : ( ) ; ( ), ; ( ) ; ( ) : ( ) ; ( )

More information

PowerPoint Presentation

PowerPoint Presentation 快速 FPGA / ASIC 原型设计与验证 单博 信号处理应用工程师 MathWorks 中国 1 实际案例 HDL 代码自动生成, 并在 Xilinx 开发板运行 2 日程 介绍使用基于模型的设计方法进行 FPGA 设计实际案例 音频均衡器定点化模型 HDL 代码自动生成速度和面积优化验证 : HDL 联合仿真和 FPGA 在环仿真总结 3 基于模型的设计 (model based design):

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

<433A5C55736572735C41646D696E6973747261746F725C4465736B746F705CBBFAB5E7B9A4B3CCD1A7D4BA32303135C4EAB9A4D7F7D7DCBDE1BCB032303136C4EAB9A4D7F7D2AAB5E32E646F6378>

<433A5C55736572735C41646D696E6973747261746F725C4465736B746F705CBBFAB5E7B9A4B3CCD1A7D4BA32303135C4EAB9A4D7F7D7DCBDE1BCB032303136C4EAB9A4D7F7D2AAB5E32E646F6378> 2015 年 工 作 总 结 及 2016 年 工 作 要 点 第 一 部 分 :2015 年 工 作 总 结 2015 年, 是 全 面 总 结 学 院 十 二 五 发 展 规 划, 科 学 制 定 十 三 五 发 展 规 划 的 一 年 我 院 按 照 年 度 计 划 要 求, 扎 实 开 展 工 作, 较 为 圆 满 地 完 成 了 年 初 制 定 的 32 项 主 要 工 作 任 务, 具

More information

<4D6963726F736F667420576F7264202D20C8CBC3F1D3CAB5E7B3F6B0E6C9E732303131C4EAC7EFBCBEBDCCB2C4C4BFC2BCA3A820B8DFD6B0B2BFB7D6A3A9>

<4D6963726F736F667420576F7264202D20C8CBC3F1D3CAB5E7B3F6B0E6C9E732303131C4EAC7EFBCBEBDCCB2C4C4BFC2BCA3A820B8DFD6B0B2BFB7D6A3A9> 二 教 材 目 录 教 学 资 源 栏 符 号 备 注 : 电 子 教 案 源 程 序, 网 络 课 程 授 课 素 材 习 题 答 案 模 拟 试 卷, 实 验 题 目 动 画 录 像 教 学 大 纲 多 媒 体 课 件 ( 一 ) 计 算 机 类 教 材 21 世 纪 高 等 职 业 教 育 信 息 技 术 类 规 划 教 材 计 算 机 软 件 1 978-7-115-23817-7 C# 程

More information

哈尔滨理工大学桂林工学院

哈尔滨理工大学桂林工学院 3888.00 ( 16.00 ) ...1...1...2...3...3...4...5...6...7...7 ( )...8... 11 ( )...12...16...19...21...25 (1)...32 I (3)...36 (2)...49...60...60...61...62...63...68...72 ( )...72 ( )...86...99... 102... 117...

More information

序 进 入 21 世 纪 以 来, 高 等 职 业 教 育 呈 现 出 快 速 发 展 的 形 势 高 等 职 业 教 育 的 发 展, 丰 富 了 高 等 教 育 的 体 系 结 构, 突 出 了 高 等 职 业 教 育 的 类 型 特 色, 顺 应 了 人 民 群 众 接 受 高 等 教 育 的

序 进 入 21 世 纪 以 来, 高 等 职 业 教 育 呈 现 出 快 速 发 展 的 形 势 高 等 职 业 教 育 的 发 展, 丰 富 了 高 等 教 育 的 体 系 结 构, 突 出 了 高 等 职 业 教 育 的 类 型 特 色, 顺 应 了 人 民 群 众 接 受 高 等 教 育 的 中 国 高 等 职 业 技 术 教 育 研 究 会 西 安 电 子 科 技 大 学 出 版 社 联 合 策 划 出 版 计 算 机 通 信 电 子 及 机 电 类 专 业 系 列 高 职 教 材 为 满 足 全 国 高 职 高 专 院 校 信 息 及 机 电 类 专 业 教 学 的 需 求, 中 国 高 等 职 业 技 术 教 育 研 究 会 与 西 安 电 子 科 技 大 学 出 版 社 分 四

More information

重庆信~1

重庆信~1 1 重 庆 信 息 技 术 职 业 学 院 年 人 才 培 养 质 量 报 告 年 人 才 培 养 质 量 报 告 二 零 一 五 年 十 二 月 八 日 重 庆 信 息 技 术 职 业 学 院 年 人 才 培 养 质 量 报 告 目 录 1 学 校 基 本 情 况 1 1.1 办 学 基 本 情 况 及 年 度 变 化 2 1.2 举 办 方 履 责 2 1.3 生 源 质 量 分 析 4 2 学

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

学生综合服务管理平台

学生综合服务管理平台 名 称 简 介 加 生 河 北 金 沙 河 面 集 团 有 限 责 任 公 司 河 北 金 沙 河 面 集 团 创 建 于 1996 年, 是 一 家 集 仓 储 生 产 销 售 物 流 研 发 为 一 体 的 现 代 化 食 品 旗 下 拥 有 邢 台 金 沙 河 面 有 限 责 任 公 司, 河 北 金 沙 河 物 流 有 限 责 任 公 司 承 德 金 沙 河 面 有 限 责 任 公 司 沙

More information

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9>

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9> 南 京 埃 斯 顿 自 动 化 股 份 有 限 公 司 NANJING ESTUN AUTOMATION CO., LTD ( 南 京 江 宁 经 济 技 术 开 发 区 将 军 南 路 155 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 暨 主 承 销 商 ( 深 圳 市 福 田 区 民 田 路 178 号 华 融 大 厦 5 6 楼 ) 声 明 :

More information

发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 每 股 面 值 : 人 民 币 1.00 元 发 行 股 票 数 量 : 不 超 过 2,500 万 股 发 行 股 数 : 不 低 于 发 行 后 总 股 本 的 25.00% 每 股 发 行 价 格 :33.13

发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 每 股 面 值 : 人 民 币 1.00 元 发 行 股 票 数 量 : 不 超 过 2,500 万 股 发 行 股 数 : 不 低 于 发 行 后 总 股 本 的 25.00% 每 股 发 行 价 格 :33.13 本次股票发行后拟在创业板市场上市 该市场具有较高的投资风险 创业板公司具有 业绩不稳定 经营风险高 退市风险大等特点 投资者面临较大的市场风险 投资者 应充分了解创业板市场的投资风险及本公司所披露的风险因素 审慎作出投资决定 飞天诚信科技股份有限公司 Feitian Technologies Co. Ltd. 北京市海淀区学清路 9 号汇智大厦 B 楼 17 层 首次公开发行股票并在创业板上市 招股说明书

More information

<39392DB0B7AB4FC2F9A4EBA55A2D312E706466>

<39392DB0B7AB4FC2F9A4EBA55A2D312E706466> 編 輯 手 札 有健保 未來會更好 灣共有368個鄉鎮市區 其中有19個離島鄉 包括澎湖 蘭嶼 金門 馬祖等地 台 區 而如何將醫療服務送到離島地區 需要政府和民間共同來努力 健保局從88 年11月起 全面實施 山地離島地區醫療給付效益提昇計畫 Integrated Delivery System, IDS 民眾滿意度達9成以上 本期 IDS計畫 離島居民就醫好安心 內容敘述離島 地區推動IDS實況

More information

设计描述 设计输入 设计修改 设计编译 命令行模式脚本 功能确认 延时确认 器件编程 在线确认 生产 2

设计描述 设计输入 设计修改 设计编译 命令行模式脚本 功能确认 延时确认 器件编程 在线确认 生产 2 Quartus II 用户指南 1 设计描述 设计输入 设计修改 设计编译 命令行模式脚本 功能确认 延时确认 器件编程 在线确认 生产 2 设计输入! 多种设计输入方法 Quartus II 原理图式图形设计输入 文本编辑 AHDL, VHDL, Verilog 内存编辑 Hex, Mif 第三方工具 EDIF HDL VQM 或采用一些别的方法去优化和提高输入的灵活性 : 混合设计格式 利用 LPM

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

3 发 展 规 划 部 招 标 管 理. 负 责 建 设 项 目 工 程 招 投 标 计 划 编 制 上 报 ; 2. 负 责 组 织 建 设 项 目 工 程 招 投 标 工 作 ; 3. 配 合 做 好 招 标 项 目 的 合 同 签 订 履 行 验 收 等 工 作 ; 4. 组 织 处 理 招

3 发 展 规 划 部 招 标 管 理. 负 责 建 设 项 目 工 程 招 投 标 计 划 编 制 上 报 ; 2. 负 责 组 织 建 设 项 目 工 程 招 投 标 工 作 ; 3. 配 合 做 好 招 标 项 目 的 合 同 签 订 履 行 验 收 等 工 作 ; 4. 组 织 处 理 招 中 国 商 飞 公 司 206 年 校 园 招 聘 岗 位 信 息 单 位 : 飞 机 设 计 研 究 院 序 号 部 门 岗 位 名 称 人 数 岗 位 职 责 条 件 要 求 工 作 地 点 办 公 室 政 策 研 究. 负 责 领 导 工 作 报 告 调 研 报 告 会 议 报 告 的 撰 写 与 整 理 ; 2. 负 责 重 要 会 议 材 料 准 备 工 作 ; 3. 负 责 领 导 调

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

Microsoft Word - 01.doc

Microsoft Word - 01.doc 1 20 世纪中期按照阿兰 图灵 (Alan M. Turing) 和冯 诺依曼 (John von. Neumann) 等人的理论和模型诞生的电子计算机发展到今天, 经过了电子管 晶体管 集成电路 互联网和云计算的时代 计算机的系统结构在冯 诺依曼结构 (von Neumann architecture) 的基础上不断改进, 出现了哈佛结构 (Harvard architecture) 以及作为目前最成功的业界技术标准之一的

More information

<4D F736F F D2034A1B6BFC9B1E0B3CCC2DFBCADC6F7BCFEBCB0D3A6D3C3A1B7BFCEB3CCBDCCD1A7B4F3B8D9>

<4D F736F F D2034A1B6BFC9B1E0B3CCC2DFBCADC6F7BCFEBCB0D3A6D3C3A1B7BFCEB3CCBDCCD1A7B4F3B8D9> 一 课程基本情况 可编程逻辑器件及应用 课程教学大纲 课程编号 010257 010259 课程类别 必修 限选 任选 学时 / 学分 48/16 课程名称 ( 中文 ) 可编程逻辑器件及应用 ( 英文 ) Programmable Logic Device and Application 教学方式 课堂讲授为主 实验为主 自学为主 专题讨论为主 课程学时 课内总学时 课内学时分配 课外学时分配 及其分配

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

<4D6963726F736F667420576F7264202D20C9EEDBDABBAAD2F2BFB5BBF9D2F2BFC6BCBCD3D0CFDEB9ABCBBE3F32303136D0A3D4B0D5D0C6B8BCF2D5C23230313531303135>

<4D6963726F736F667420576F7264202D20C9EEDBDABBAAD2F2BFB5BBF9D2F2BFC6BCBCD3D0CFDEB9ABCBBE3F32303136D0A3D4B0D5D0C6B8BCF2D5C23230313531303135> 华 因 康 基 因 2016 校 园 招 聘 简 章 一 华 因 康 是 谁? 全 球 高 通 量 基 因 测 序 平 台 三 巨 头 之 一 ; 拥 有 全 球 数 量 第 一 的 基 因 测 序 平 台 类 核 心 专 利 ; 成 功 研 制 中 国 第 一 款 自 主 品 牌 的 基 因 测 序 仪, 并 已 投 入 临 床 应 用 ; 国 家 药 监 局 首 批 创 新 医 疗 器 械 特

More information

102... 2 102... 3 103... 4... 5... 8... 15... 15... 18... 19 1 102 4(3) 04636116 4(3) 04637106 4(3) 04638106 4(3) 04639106 2 04640106 2 04641106 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 04635106 04739116 2 04741106

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路

海 南 冯 琳 峰 海 南 省 锅 炉 压 力 容 器 与 特 种 设 备 检 验 所 海 南 省 定 安 县 白 蒙 路 47 号 570203 信 XC22043618311 内 蒙 古 冯 磊 赤 峰 市 特 种 设 备 检 验 所 内 蒙 古 赤 峰 市 红 山 区 八 里 铺 油 库 路 2015 年 容 器 检 验 员 取 证 邮 寄 证 书 查 询 邮 寄 日 期 2016.2.26 查 询 网 址 http://yjcx.chinapost.com.cn/zdxt/yjcx/ 省 份 姓 名 工 作 单 位 通 信 地 址 邮 政 编 码 挂 号 号 码 山 东 丁 宪 振 山 东 省 特 种 设 备 检 验 研 究 院 山 东 省 济 南 市 高 新 区 天 辰 大 街 939

More information

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工 2014 年 电 气 工 程 及 其 自 动 化 专 业 教 学 质 量 年 度 报 告 1 专 业 发 展 概 况 ( 包 括 专 业 发 展 历 程 专 业 办 学 的 经 费 投 入 图 书 资 料 实 室 等 基 本 教 学 条 件 在 校 学 生 数 生 师 比 一 志 愿 录 取 率 新 生 报 到 率 学 生 转 入 和 转 出 人 数 ) 上 海 师 范 大 学 信 息 与 机 电

More information

物理学院 性质类别学分学时占总学分百分比 必修课 通识教育必修 学科基础平台 基础 % 必修 周 实践环节 不含实验 8 20 周 5.23 含实验 0 0 0

物理学院 性质类别学分学时占总学分百分比 必修课 通识教育必修 学科基础平台 基础 % 必修 周 实践环节 不含实验 8 20 周 5.23 含实验 0 0 0 山东大学本科培养方案 微电子学 ( 大类 ) 培养方案 (080704) 一 简介 : 该是为适应电子信息时代对微电子学的需求, 适应以集成电路为主的微电子产业的飞速发展, 适应微电子工业迅速发展对人才的需求而设置的 培养微电子应用型 复合型人才, 培养的学生能适应多学科结合发展的需求 二 培养目标 : 培养具有良好的物理学基础, 并具有半导体材料 器件及集成电路的专门知识, 掌握微电子学基本实验技能,

More information

黑A4FA~1

黑A4FA~1 黑 龙 江 司 法 警 官 职 业 学 院 高 等 职 业 教 育 质 量 年 度 报 告 (2016) 二 零 一 五 年 十 二 月 I 黑 龙 江 司 法 警 官 职 业 学 院 办 学 思 想 肩 负 司 法 职 责 造 就 文 武 人 才 传 承 教 育 精 髓 培 育 红 专 素 质 办 学 理 念 高 素 质 为 根 本 纪 律 习 惯 养 成 高 技 能 为 重 点 以 责 任 促

More information

Microsoft PowerPoint - Multisim的使用.ppt

Microsoft PowerPoint - Multisim的使用.ppt 目录 电路设计与仿真 Multisim 简介 基本界面介绍 基本操作 ( 例子 : 全波整流仿真 ) 信号源的使用 虚拟仪器的使用 电路分析命令 电路设计与仿真 (1) 第一阶段是产品原理设计, 按任务书设计电气原理图, 计算 选择所需电器元件, 编制元件清单和设计计算说明书 第二阶段是样机的安装与调试, 按第一阶段设计的结果, 选购电器元件 制作电子线路板, 并在此基础上安装调试出样机 第三阶段是编写设计说明书和设计答辩

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

2009 年第 6 期 高清总动员 35

2009 年第 6 期 高清总动员 35 要说 08 年最成功的高清机, 非三合一 F1/F2 莫属 它集中了国内不同的高清接收需求, 整合了当时能想到的各种功能, 为欣赏高清奥运, 满足高端发烧人士, 做出了贡献 F1/F2 的成功, 说明不依赖进口, 我们也有能力打造顶级的高清机, 并且更适合国内的使用习惯 不过, 即使 F1/F2 的终极版, 也不兼容 ABS-S 或 ISDB-S, 没有网络功能, 不能 USB 录像等等, 有一定的局限性

More information

正文1.FIT)

正文1.FIT) 经 济 学 院 经 济 学 院 学 院 简 介 经 济 学 院 的 前 身 为 成 立 于 1984 年 的 原 西 北 第 二 民 族 学 院 政 治 系,1995 年 政 治 系 更 名 为 政 治 经 济 系 并 开 始 招 生 随 着 办 学 规 模 的 扩 大 和 学 科 发 展 的 需 要, 先 后 于 1998 年 2006 年 更 名 为 经 济 管 理 系 商 学 院 2012 年

More information

计算机科学与技术学院 2016 级计算机科学与技术 学年教学计划 班级 : 计 1601, 计 1602, 计 1603 人数 :99 选修 选修 人机交互技术 计算机科学与技术学院 选修计算机图像处理 计算机科学与技术学院 小计 :

计算机科学与技术学院 2016 级计算机科学与技术 学年教学计划 班级 : 计 1601, 计 1602, 计 1603 人数 :99 选修 选修 人机交互技术 计算机科学与技术学院 选修计算机图像处理 计算机科学与技术学院 小计 : 计算机科学与技术学院 2016 级电子信息工程 2018-2019 学年教学计划 班级 : 电子 1601, 电子 1602 人数 :65 选修 选修 电子设计 2 2.0 30 4 18 2 6 计算机科学与技术学院 选修数字系统设计 2.0 82 20 20 2 40 计算机科学与技术学院 选修电源技术 1.0 16 16 计算机科学与技术学院 选修 DSP 原理及应用 3.0 48 32 16

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤

7 南 水 北 调 东 线 第 一 期 工 程 三 阳 河 潼 河 宝 应 站 工 程 设 计 江 苏 省 水 利 勘 测 设 计 研 究 院 有 限 公 陆 小 伟, 顾 美 娟, 张 仁 田, 王 钧, 焦 建 华, 张 艺, 朱 正 伟, 杨 俊 敬, 徐 文 俊, 张 娟, 钱 祖 宾, 汤 附 件 : 2015 年 度 全 国 优 秀 水 利 水 电 工 程 勘 测 设 计 奖 获 奖 项 目 公 示 名 单 序 号 项 目 名 称 申 报 单 位 获 奖 人 员 水 利 设 计 金 质 奖 (27 项 ) 1 湖 南 渫 水 皂 市 水 利 枢 纽 工 程 设 计 汪 庆 元, 刘 志 明, 杨 启 贵, 夏 叶 青, 王 超, 雷 长 海, 李 勤 军, 刘 瑞 懿, 金 德 山,

More information

,,,,, ; ;,,,,,,,,,,,,,, 1938 10,, 11, 1940 3,,, : ; ;,,,?, :,,, 2 /,, 1940,, ;,,,,,,,, :, ;,, ;,,, ;,,,,, :,,,, :,,,, ;,,,,,,,, / 3,,,,,,,,, :,,, 1943, :,,,,, :,, 5,,,,, 1 1 1 1 6 10 13 16 16 26 ( ) 1.

More information

计算机科学与技术学院 2014 级通信工程 学年教学计划 班级 : 通信 1401, 通信 1402 人数 :66 形势与政策 马克思主义学院 大学体育 体育部 就业指导 学生事务部 通信系统实验

计算机科学与技术学院 2014 级通信工程 学年教学计划 班级 : 通信 1401, 通信 1402 人数 :66 形势与政策 马克思主义学院 大学体育 体育部 就业指导 学生事务部 通信系统实验 计算机科学与技术学院 2014 级计算机科学与技术 2017-2018 学年教学计划 班级 : 计 1401, 计 1402, 计 1403 人数 :104 就业指导 1.0 16 16 学生事务部 大学体育 -6 0.5 12 12 体育部 形势与政策 -7 0.0 4 4 马克思主义学院 嵌入式系统设计 3.0 48 32 16 计算机科学与技术学院 综合实训 2.0 62 8 2 52 计算机科学与技术学院

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information